Job Overview: Are you ready for a new and exciting challenge? If you are a self-motivated and expert micro-architecture and logic design candidate looking to make a difference in an innovative and inclusive team, you've come to the right place! You will join the System IP Bridges RTL design team responsible for the development of several innovative and powerful AMBA bridge IPs for the Infrastructure line of business. This candidate should have a detailed understanding across all design aspects to deliver successful IP with optimal PPA. This includes low-power design techniques and the awareness of the impact of design decisions on system performance. In addition, the candidate would fully apply engineering resourcefulness and soft skills to produce designs that are delivered timely and with the highest quality. Responsibilities: Involvement in micro-architecture and logic implementation using SystemVerilog RTL coding The planning, tracking, and coordinating of individual tasks to meet high quality goals at the planned milestone Working closely with design and verification teams to share the responsibility of delivering high qualify hardware designs, including debugging functional or performance issues using simulation and debug tools Collaborating with other involved teams including software and 3rd party Improving design methodology across the System IP group and wider Arm design community Providing direction and mentoring to other junior members of the team Required Skills & Experience: Micro-architecture experience in fabric, interconnect, and bridge design Knowledge of high-speed interfaces such as AMBA CHI and/or AXI, and of topics including transaction ordering, virtualization, MMUs, cache coherence, and host bridge functions History of high quality, low power, powerful sophisticated micro-architecture and RTL implementations in reasonable timescales Experience with scripting languages like Perl, Ruby, etc, and competence with Unix/Linux, shells, and Makefiles Minimum Bachelors or Master's degree in Computer Engineering/Electrical Engineering "Nice to Have" Skills & Experience: Strong communication, collaboration, and presentation skills Familiarity with PCI Express (PCIe), Ethernet, DDR is a plus Experience with synthesis, static timing, and DFT as well as experience with physical design and verification methods In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/15/2024
Full time
Job Overview: Are you ready for a new and exciting challenge? If you are a self-motivated and expert micro-architecture and logic design candidate looking to make a difference in an innovative and inclusive team, you've come to the right place! You will join the System IP Bridges RTL design team responsible for the development of several innovative and powerful AMBA bridge IPs for the Infrastructure line of business. This candidate should have a detailed understanding across all design aspects to deliver successful IP with optimal PPA. This includes low-power design techniques and the awareness of the impact of design decisions on system performance. In addition, the candidate would fully apply engineering resourcefulness and soft skills to produce designs that are delivered timely and with the highest quality. Responsibilities: Involvement in micro-architecture and logic implementation using SystemVerilog RTL coding The planning, tracking, and coordinating of individual tasks to meet high quality goals at the planned milestone Working closely with design and verification teams to share the responsibility of delivering high qualify hardware designs, including debugging functional or performance issues using simulation and debug tools Collaborating with other involved teams including software and 3rd party Improving design methodology across the System IP group and wider Arm design community Providing direction and mentoring to other junior members of the team Required Skills & Experience: Micro-architecture experience in fabric, interconnect, and bridge design Knowledge of high-speed interfaces such as AMBA CHI and/or AXI, and of topics including transaction ordering, virtualization, MMUs, cache coherence, and host bridge functions History of high quality, low power, powerful sophisticated micro-architecture and RTL implementations in reasonable timescales Experience with scripting languages like Perl, Ruby, etc, and competence with Unix/Linux, shells, and Makefiles Minimum Bachelors or Master's degree in Computer Engineering/Electrical Engineering "Nice to Have" Skills & Experience: Strong communication, collaboration, and presentation skills Familiarity with PCI Express (PCIe), Ethernet, DDR is a plus Experience with synthesis, static timing, and DFT as well as experience with physical design and verification methods In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Job Overview: This role is part of the rapidly growing Arm Infrastructure Line of Business where we enable deployment of the Arm Neoverse platform in Cloud, Edge, 5G and Networking markets! To enable our Silicon and System design partners to build the right solutions leveraging Arm's Compute Subsystem (CSS) offerings, we need someone with experience in designing and deploying hardware in these segments. Systems Solutions Architect will work with partners in the ecosystem to define system definition to drive growth and adoption of Arm technologies for key cloud and data-center applications. Responsibilities: Help key design partners architect cloud hardware solutions that bring together Arm's CSS products, partner IP and their key IP to provide best-in-class performance, power efficiency, scalability, and time-to-market. Work together with segment solutions architects and multi-functional teams including hardware engineers, software developers, performance engineers, and researchers to align system deployment strategies with system design goals for key target segments. Engage with end customers such as Hyperscalers, OEMs, ODMs, data-center system and software companies to understand cloud use-cases, requirements, and opportunities for Arm. Keep pace with the latest advancements in data-center space, drive competitive analysis and find innovative solutions to prepare Arm for success. Support Product Managers on defining IP, interconnect, CSS designs for next-gen hardware. Promote Arm server solutions with Arm partners and wider industry, demonstrating both maturity of vision and technical leadership. Represent Arm's interests at key industry forums and technology working groups with goal of enabling widespread adoption of Arm solutions. Required Skills and Experience : MS or PhD in Electrical Engineering or Computer Engineering (other degrees will be considered with relevant work experience) 10+ years as a systems/solutions architect with a background in Silicon and hardware design. Industry knowledge of various design points in the cloud including servers, accelerators, networking, and storage equipment. Experience helping customers build and deploy hardware in cloud covering rack-scale architecture, accelerator/IO interconnect, power efficiency and cooling solutions etc. Experience working with Silicon and IP design and verification teams, board design and bring-up teams, system modeling, simulation and emulation techniques. "Nice To Have" Skills and Experience : Understanding of cloud applications and software architecture and their impact on hardware designs and vice-versa. Knowledge of Arm eco-system of software and hardware partners. Good communication and collaboration skills, ability to build technical consensus across multiple teams and bring clarity to poorly defined and complex problems. Familiarity with SoC design flow, validation, test/product engineering and packaging techniques. Ability to generate consistent, complete, and concise written specifications. Experience driving industry standards and presenting at various industry technical forums and events. Salary Range: From: $230,265 To: $311,535 In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises Arm is poised to lead the next generation of innovation, adoption and growth in the Cloud/Data-center space! This is an outstanding opportunity to influence the direction that the industry is taking and learn emerging trends in this space.
05/15/2024
Full time
Job Overview: This role is part of the rapidly growing Arm Infrastructure Line of Business where we enable deployment of the Arm Neoverse platform in Cloud, Edge, 5G and Networking markets! To enable our Silicon and System design partners to build the right solutions leveraging Arm's Compute Subsystem (CSS) offerings, we need someone with experience in designing and deploying hardware in these segments. Systems Solutions Architect will work with partners in the ecosystem to define system definition to drive growth and adoption of Arm technologies for key cloud and data-center applications. Responsibilities: Help key design partners architect cloud hardware solutions that bring together Arm's CSS products, partner IP and their key IP to provide best-in-class performance, power efficiency, scalability, and time-to-market. Work together with segment solutions architects and multi-functional teams including hardware engineers, software developers, performance engineers, and researchers to align system deployment strategies with system design goals for key target segments. Engage with end customers such as Hyperscalers, OEMs, ODMs, data-center system and software companies to understand cloud use-cases, requirements, and opportunities for Arm. Keep pace with the latest advancements in data-center space, drive competitive analysis and find innovative solutions to prepare Arm for success. Support Product Managers on defining IP, interconnect, CSS designs for next-gen hardware. Promote Arm server solutions with Arm partners and wider industry, demonstrating both maturity of vision and technical leadership. Represent Arm's interests at key industry forums and technology working groups with goal of enabling widespread adoption of Arm solutions. Required Skills and Experience : MS or PhD in Electrical Engineering or Computer Engineering (other degrees will be considered with relevant work experience) 10+ years as a systems/solutions architect with a background in Silicon and hardware design. Industry knowledge of various design points in the cloud including servers, accelerators, networking, and storage equipment. Experience helping customers build and deploy hardware in cloud covering rack-scale architecture, accelerator/IO interconnect, power efficiency and cooling solutions etc. Experience working with Silicon and IP design and verification teams, board design and bring-up teams, system modeling, simulation and emulation techniques. "Nice To Have" Skills and Experience : Understanding of cloud applications and software architecture and their impact on hardware designs and vice-versa. Knowledge of Arm eco-system of software and hardware partners. Good communication and collaboration skills, ability to build technical consensus across multiple teams and bring clarity to poorly defined and complex problems. Familiarity with SoC design flow, validation, test/product engineering and packaging techniques. Ability to generate consistent, complete, and concise written specifications. Experience driving industry standards and presenting at various industry technical forums and events. Salary Range: From: $230,265 To: $311,535 In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises Arm is poised to lead the next generation of innovation, adoption and growth in the Cloud/Data-center space! This is an outstanding opportunity to influence the direction that the industry is taking and learn emerging trends in this space.
Job Overview: Arm's Solutions group DFT team implements DFT for SOC for client, datacenter, automotive, and IOT line of business using the latest DFT and process technologies. We closely collaborate with Arm's partners and internal RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE! Responsibilities: Architect DFT solutions for SOC catering to multiple line of business Coordinates DFT requirements across SOC, IP and product teams. Implement, and validate innovative DFT techniques on SOCs and sub-systems. Insert DFT logic into SoC as well as sub-system level and validate all DFT features using industry standard simulation tools. Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Participate in ATE targeted test patterns, validation and silicon- debug Work closely Test and product engineering teams on silicon characterization and validation. Required Skills and Experience : This role is for a Senior Principal DFT Architect with 16+ years of proven experience in Design for Test 10+ years of experience handing DFT architecture for complex SOCs in leading technology nodes. Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, DFT mode timing constraints, back-annotated gate level verification, silicon debug, memory and scan diagnostics. Experience with 2.5D and 3D test Experience coding Verilog RTL, TCL and/or Perl. "Nice To Have" Skills and Experience : Familiarity with SoC style architectures including multi-clock domain and low power design practices. Previous experience managing a team of DFT Engineers Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Background in design, implementation and timing convergence is a plus Experience in leading datacenter SOCs is a plus. Experience with Cadence, and/or Synopsys DFT and simulation tools Salary Range: From:$283,305 To: $383,295 In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding! These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/15/2024
Full time
Job Overview: Arm's Solutions group DFT team implements DFT for SOC for client, datacenter, automotive, and IOT line of business using the latest DFT and process technologies. We closely collaborate with Arm's partners and internal RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE! Responsibilities: Architect DFT solutions for SOC catering to multiple line of business Coordinates DFT requirements across SOC, IP and product teams. Implement, and validate innovative DFT techniques on SOCs and sub-systems. Insert DFT logic into SoC as well as sub-system level and validate all DFT features using industry standard simulation tools. Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Participate in ATE targeted test patterns, validation and silicon- debug Work closely Test and product engineering teams on silicon characterization and validation. Required Skills and Experience : This role is for a Senior Principal DFT Architect with 16+ years of proven experience in Design for Test 10+ years of experience handing DFT architecture for complex SOCs in leading technology nodes. Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, DFT mode timing constraints, back-annotated gate level verification, silicon debug, memory and scan diagnostics. Experience with 2.5D and 3D test Experience coding Verilog RTL, TCL and/or Perl. "Nice To Have" Skills and Experience : Familiarity with SoC style architectures including multi-clock domain and low power design practices. Previous experience managing a team of DFT Engineers Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Background in design, implementation and timing convergence is a plus Experience in leading datacenter SOCs is a plus. Experience with Cadence, and/or Synopsys DFT and simulation tools Salary Range: From:$283,305 To: $383,295 In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding! These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Job Overview: SoC Architect is a technical role responsible for architecting and designing high-volume, sophisticated, SoC platforms on groundbreaking nodes across multiple market segments including mobile, automotive, datacenter and networking, and IoT. This position plays a meaningful role in the development of production-quality silicon with outstanding performance and power efficiency, both in partnership with Arm partners and producing Arm development silicon. Responsibilities: In this role, the successful candidate is encouraged to: Design and develop Arm's SoC architecture based on Arm product IP and system architecture to meet the requirements of best-in-class compute across our market segments. Work proactively across Arm's architecture and core technology teams to ensure a roadmap of architecture platforms and technology feature development supporting the needs of leading edge SoCs Collaborate with a team of SoC architects with the expertise to leave no stone unturned in the quest to design world-leading SoCs. Ability to scale across multiple partners and deliver multiple engagements in parallel. Collaborate with core, technology and software teams to optimize the end-to-end platform solutions Participate in identifying the key use cases and workloads showcasing the best of Arm IP/platform Work with engineering teams across Arm to coordinate on-time delivery of Arm IP into SoCs, and work together to ensure that what we learn from SoC development shapes how we develop future IP. Drive innovation and continuous improvement in SoC architecture staying up to date on industry trend and new technologies Participate and drive partner/customer specific architecture discussions. Bring specifications to a mature stage allowing design and implementation teams to engage actively Required Skills and Experience : Significant experience in architecting scalable SoCs on leading edge nodes across multiple market segments Expertise in multiple of the following areas: heterogeneous compute architectures, power management, clocking, coherent and non-coherent interconnect, PCIe/CXL, multi-level caching architecture, functional profiling & debug, security and access control, virtualization, memory hierarchies, sophisticated 2.5D/3D packaging, performance / power modeling & estimation, soft real-time accelerators, DRAM memory technologies. Excellent presentation, interpersonal and communication skills. Able to present at executive level inside and outside of Arm. Bachelor's or Master's degrees in Electrical or Computer Engineering and 10 years of experience in a senior development or leadership position within semiconductor industry Ability to influence at all levels, across engineering and business organizations internal and external to Arm, in their peer group, across execution, strategy and change. "Nice To Have" Skills and Experience : Ability to work optimally in a fast-paced environment with changing priorities and requirements Prepared to challenge the status quo constructively at senior level Proven track record of timely, to-specification delivery of multiple sophisticated projects in parallel Salary Range: Principal Engineer: From: $221,127 To: $299,172 Senior Principal Engineer: From: $273,020 To: $369,380 In Return: To join a newly forming Solution Engineering SoC architecture team with significant opportunities to impact the shape, definition, and culture of the team and help build our future success! This will be a fast paced and exciting environment with opportunities to demonstrate your strategic and innovative thinking while directly chipping in to current projects. Arm is proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work collaboratively to defy ordinary and shape extraordinary! Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/15/2024
Full time
Job Overview: SoC Architect is a technical role responsible for architecting and designing high-volume, sophisticated, SoC platforms on groundbreaking nodes across multiple market segments including mobile, automotive, datacenter and networking, and IoT. This position plays a meaningful role in the development of production-quality silicon with outstanding performance and power efficiency, both in partnership with Arm partners and producing Arm development silicon. Responsibilities: In this role, the successful candidate is encouraged to: Design and develop Arm's SoC architecture based on Arm product IP and system architecture to meet the requirements of best-in-class compute across our market segments. Work proactively across Arm's architecture and core technology teams to ensure a roadmap of architecture platforms and technology feature development supporting the needs of leading edge SoCs Collaborate with a team of SoC architects with the expertise to leave no stone unturned in the quest to design world-leading SoCs. Ability to scale across multiple partners and deliver multiple engagements in parallel. Collaborate with core, technology and software teams to optimize the end-to-end platform solutions Participate in identifying the key use cases and workloads showcasing the best of Arm IP/platform Work with engineering teams across Arm to coordinate on-time delivery of Arm IP into SoCs, and work together to ensure that what we learn from SoC development shapes how we develop future IP. Drive innovation and continuous improvement in SoC architecture staying up to date on industry trend and new technologies Participate and drive partner/customer specific architecture discussions. Bring specifications to a mature stage allowing design and implementation teams to engage actively Required Skills and Experience : Significant experience in architecting scalable SoCs on leading edge nodes across multiple market segments Expertise in multiple of the following areas: heterogeneous compute architectures, power management, clocking, coherent and non-coherent interconnect, PCIe/CXL, multi-level caching architecture, functional profiling & debug, security and access control, virtualization, memory hierarchies, sophisticated 2.5D/3D packaging, performance / power modeling & estimation, soft real-time accelerators, DRAM memory technologies. Excellent presentation, interpersonal and communication skills. Able to present at executive level inside and outside of Arm. Bachelor's or Master's degrees in Electrical or Computer Engineering and 10 years of experience in a senior development or leadership position within semiconductor industry Ability to influence at all levels, across engineering and business organizations internal and external to Arm, in their peer group, across execution, strategy and change. "Nice To Have" Skills and Experience : Ability to work optimally in a fast-paced environment with changing priorities and requirements Prepared to challenge the status quo constructively at senior level Proven track record of timely, to-specification delivery of multiple sophisticated projects in parallel Salary Range: Principal Engineer: From: $221,127 To: $299,172 Senior Principal Engineer: From: $273,020 To: $369,380 In Return: To join a newly forming Solution Engineering SoC architecture team with significant opportunities to impact the shape, definition, and culture of the team and help build our future success! This will be a fast paced and exciting environment with opportunities to demonstrate your strategic and innovative thinking while directly chipping in to current projects. Arm is proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work collaboratively to defy ordinary and shape extraordinary! Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Job Overview: Arm's Solutions group DFT team implements DFT for SOC for client, datacenter, automotive, and IOT line of business using the latest DFT and process technologies. We closely collaborate with Arm's partners and internal RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE! Responsibilities: Architect, implement, and validate innovative DFT techniques on SOCs and sub-systems. Insert DFT logic into SoC as well as sub-system level and validate all DFT features using industry standard simulation tools. Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Participate in ATE targeted test patterns, validation and silicon- debug Work closely Test and product engineering teams on silicon characterization and validation. Required Skills and Experience : This role is for a Staff / Principal DFT Engineer with 8+ years of experience in Design for Test Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, back-annotated gate level verification, silicon debug, memory and scan diagnostics. Experience coding Verilog RTL, TCL and/or Perl Experience with Cadence, and/or Synopsys DFT and simulation tools "Nice To Have" Skills and Experience : Familiarity with SoC style architectures including multi-clock domain and low power design practices. Previous experience leading a team of DFT engineers Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Background in high design, implementation and DFT timing constraints is a big plus Experience in datacenter chips is plus Experience with 2.5D and 3D test In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding! These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/15/2024
Full time
Job Overview: Arm's Solutions group DFT team implements DFT for SOC for client, datacenter, automotive, and IOT line of business using the latest DFT and process technologies. We closely collaborate with Arm's partners and internal RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE! Responsibilities: Architect, implement, and validate innovative DFT techniques on SOCs and sub-systems. Insert DFT logic into SoC as well as sub-system level and validate all DFT features using industry standard simulation tools. Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Participate in ATE targeted test patterns, validation and silicon- debug Work closely Test and product engineering teams on silicon characterization and validation. Required Skills and Experience : This role is for a Staff / Principal DFT Engineer with 8+ years of experience in Design for Test Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, back-annotated gate level verification, silicon debug, memory and scan diagnostics. Experience coding Verilog RTL, TCL and/or Perl Experience with Cadence, and/or Synopsys DFT and simulation tools "Nice To Have" Skills and Experience : Familiarity with SoC style architectures including multi-clock domain and low power design practices. Previous experience leading a team of DFT engineers Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Background in high design, implementation and DFT timing constraints is a big plus Experience in datacenter chips is plus Experience with 2.5D and 3D test In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding! These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Job Overview: In the Arm Central Technology Systems group we provide domain-specific leadership across multiple sectors, including mobile, infrastructure, and automotive. We are looking for an interconnect and I/O expert to join and further strengthen this diverse group. We work in small teams, so your contributions will make a difference! Responsibilities: Provide leadership in the definition of the I/O subsystem architecture and technical strategy Drive collaboration and find consensus as you engage with architects, software, partners, standards bodies, system designers, IP teams, and performance analysis teams Define and help prioritize technical requirements for future Arm products Identify and take ownership for resolving complex issues Some travel to worldwide Arm and customer sites may be required Required Skills and Experience : Bachelors or Master's degree or equivalent experience in Computer Science or Electrical/Computer Engineering Consistent track record, with at least 7 years of industry experience in identifying and translating server requirements into system solutions and motivation to share your unique expertise and technical perspectives to positively contribute to Arm's success Deep knowledge of PCI Express and similar interconnect protocols, including deadlock analysis, flow control, and ordering Demonstrated ability to generate consistent, complete, and concise written specifications and excellent communication (written, verbal, presentation) skills in order to effectively connect with cross-functional teams both locally and across sites "Nice To Have" Skills and Experience : Understanding of general-purpose CPU microarchitecture, including knowledge of areas such as processor pipelines, caches, memory hierarchy, and multi-processor systems Deep understanding of system component inter-dependencies including CPU, cache, memory, system interconnect, and peripherals Knowledge of datacenter system architecture requirements for enabling integrated and discrete accelerators Understanding of Software Architecture, RAS, QoS, Power Management, Security, Firmware, and Virtualization aspects, especially as it relates to I/O devices Experience with development, modeling, prototype, validation, bring-up, or production support of host and device implementations Experience with the Arm architecture and AMBA protocols Experience with networking protocols like Ethernet, RDMA, or InfiniBand Experience with storage protocols such as SATA and NVMe In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/14/2024
Full time
Job Overview: In the Arm Central Technology Systems group we provide domain-specific leadership across multiple sectors, including mobile, infrastructure, and automotive. We are looking for an interconnect and I/O expert to join and further strengthen this diverse group. We work in small teams, so your contributions will make a difference! Responsibilities: Provide leadership in the definition of the I/O subsystem architecture and technical strategy Drive collaboration and find consensus as you engage with architects, software, partners, standards bodies, system designers, IP teams, and performance analysis teams Define and help prioritize technical requirements for future Arm products Identify and take ownership for resolving complex issues Some travel to worldwide Arm and customer sites may be required Required Skills and Experience : Bachelors or Master's degree or equivalent experience in Computer Science or Electrical/Computer Engineering Consistent track record, with at least 7 years of industry experience in identifying and translating server requirements into system solutions and motivation to share your unique expertise and technical perspectives to positively contribute to Arm's success Deep knowledge of PCI Express and similar interconnect protocols, including deadlock analysis, flow control, and ordering Demonstrated ability to generate consistent, complete, and concise written specifications and excellent communication (written, verbal, presentation) skills in order to effectively connect with cross-functional teams both locally and across sites "Nice To Have" Skills and Experience : Understanding of general-purpose CPU microarchitecture, including knowledge of areas such as processor pipelines, caches, memory hierarchy, and multi-processor systems Deep understanding of system component inter-dependencies including CPU, cache, memory, system interconnect, and peripherals Knowledge of datacenter system architecture requirements for enabling integrated and discrete accelerators Understanding of Software Architecture, RAS, QoS, Power Management, Security, Firmware, and Virtualization aspects, especially as it relates to I/O devices Experience with development, modeling, prototype, validation, bring-up, or production support of host and device implementations Experience with the Arm architecture and AMBA protocols Experience with networking protocols like Ethernet, RDMA, or InfiniBand Experience with storage protocols such as SATA and NVMe In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises