Our client is looking for a Sr. Controls Automation Engineer. This position requires demonstrated technical proficiency in implementing automation solutions with PLC, DCS, HMI, and SCADA platforms. This position requires demonstrated technical proficiency in implementing automation solutions with PLC, DCS, HMI, and SCADA platforms. The Senior Controls Engineer will interact directly with the customer and lead a team of Wunderlich-Malec engineers in identifying requirements, developing specifications, and implementing/testing/commissioning/qualifying automation solutions. This position is a leadership role within the business unit and requires strong problem-solving ability, methodical project management skills, well-balanced people skills, an aptitude for business efficiency, and an interest in creating partnerships. The Senior Controls Engineer demonstrates success by securing repeat work with both new and existing customers. RESPONSIBILITIES: This position requires the ability to deliver innovative automation solutions and design standards either self-directed or as a leader of a team. The candidate must have the ability to conceptualize, detail and implement control systems for industrial processes and machinery that meet our customers requirements. This involves the development of a control system architecture, selecting the components to be used, detailing schematic diagrams and system documentation, programming controllers (PLC, DCS, RTU), programming the process visualization (HMI/SCADA), developing databases for archiving/displaying historical system data and implementing/commissioning the control system at the clients facility. Knowledge of controller programming languages such as Ladder, Sequential Function Chart, Structured Text, and others to achieve the desired results is required. Also having knowledge of standard programming languages such as VBA, .NET, and SQL for the development of HMI/SCADA systems is preferred. For larger systems, experience with industrial networking used to connect control system components and instrumentation is preferred. The Senior Controls Engineer would design, detail, and implement a variety of fiber, wired and wireless systems using industrial protocols (Ethernet/IP, Profinet, Modbus, etc.). This position oftentimes requires the following project management responsibilities: developing project execution plans, work breakdown structures, and associated budgets, task prioritization and scheduling, task ordering, time tracking, and reporting, financial tracking and reporting, early problem identification, conflict management/resolution, leading and supporting a team, and attending project status meetings. Also includes overseeing daily operations and activities of a project(s) up to $1M. This position is often responsible for ensuring the overall profitability of the project. The ideal candidate must have a diverse engineering skillset with the ability to work independently. Will act as technical lead on projects and guide lower-level engineers and technicians. Could also act as a Project Manager on smaller projects. Requirements Education A Bachelor of Science degree in Electrical/Control Engineering, Mechanical Engineering, Chemical Engineering, or Computer Science is required. Greater than 10 years with capabilities in below areas is preferred: In-depth understanding of PLC hardware/software platforms such as Rockwell Automation, Siemens, and Modicon. In-depth understanding of HMI/SCADA hardware/software platforms such GE iFIX, Rockwell Automation FactoryTalk View, Inductive Automations Ignition, and Siemens. In-depth understanding of DCS hardware/software platform such as Emerson DeltaV, Rockwell PlantPAx, or similar. Familiarity with electrical schematics, including power distribution under 480VAC, control panels, and associated NEC references desired. Experience with AutoCAD is also desired. Experience leading a team of engineers/technicians to provide control system solutions. Experience mentoring less experienced engineers. Proven experience in managing, leading and guiding automation design and implementation projects in the Life Science industry. Proven experience with all phases of automation projects including conceptual, basis of design, implementation, testing, start-up and commissioning of Process Control System (PCS), SCADA, and DCS in the Life Science industry. Strong knowledge of S88 Batch Familiarity with relevant regulatory requirements of the life science industry such as cGMP, 21 CFR Part 11, etc. Familiarity with GAMP5, System and Software Development Life Cycles methodologies, validation Strong knowledge of IT/OT networking Extensive knowledge of automation protocols such as HART, Foundation Fieldbus, Traditional I/O, Profibus, Ethernet I/P, OPC, Modbus TCP/IP, etc. Experience with relational databases such as SQL, Oracle, etc. Excellent technical writing and verbal communication skills Professional references, preferably one from each of the following: a subordinate, a peer, and a manager. About us: is a Top Staffing Firm thats partnered with some of the largest names in various industries. Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore! or to speak to a Recruiting Professional directly, call (phone number removed). BestLogic Staffing is proud to be an Equal Opportunity Employer All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, national origin, disability, protected veteran status, or any other characteristic protected by law. Your Right to Work In compliance with federal law, all persons hired will be required to verify identity and eligibility to work in the United States and to complete the required employment eligibility verification document form upon hire.
05/17/2024
Full time
Our client is looking for a Sr. Controls Automation Engineer. This position requires demonstrated technical proficiency in implementing automation solutions with PLC, DCS, HMI, and SCADA platforms. This position requires demonstrated technical proficiency in implementing automation solutions with PLC, DCS, HMI, and SCADA platforms. The Senior Controls Engineer will interact directly with the customer and lead a team of Wunderlich-Malec engineers in identifying requirements, developing specifications, and implementing/testing/commissioning/qualifying automation solutions. This position is a leadership role within the business unit and requires strong problem-solving ability, methodical project management skills, well-balanced people skills, an aptitude for business efficiency, and an interest in creating partnerships. The Senior Controls Engineer demonstrates success by securing repeat work with both new and existing customers. RESPONSIBILITIES: This position requires the ability to deliver innovative automation solutions and design standards either self-directed or as a leader of a team. The candidate must have the ability to conceptualize, detail and implement control systems for industrial processes and machinery that meet our customers requirements. This involves the development of a control system architecture, selecting the components to be used, detailing schematic diagrams and system documentation, programming controllers (PLC, DCS, RTU), programming the process visualization (HMI/SCADA), developing databases for archiving/displaying historical system data and implementing/commissioning the control system at the clients facility. Knowledge of controller programming languages such as Ladder, Sequential Function Chart, Structured Text, and others to achieve the desired results is required. Also having knowledge of standard programming languages such as VBA, .NET, and SQL for the development of HMI/SCADA systems is preferred. For larger systems, experience with industrial networking used to connect control system components and instrumentation is preferred. The Senior Controls Engineer would design, detail, and implement a variety of fiber, wired and wireless systems using industrial protocols (Ethernet/IP, Profinet, Modbus, etc.). This position oftentimes requires the following project management responsibilities: developing project execution plans, work breakdown structures, and associated budgets, task prioritization and scheduling, task ordering, time tracking, and reporting, financial tracking and reporting, early problem identification, conflict management/resolution, leading and supporting a team, and attending project status meetings. Also includes overseeing daily operations and activities of a project(s) up to $1M. This position is often responsible for ensuring the overall profitability of the project. The ideal candidate must have a diverse engineering skillset with the ability to work independently. Will act as technical lead on projects and guide lower-level engineers and technicians. Could also act as a Project Manager on smaller projects. Requirements Education A Bachelor of Science degree in Electrical/Control Engineering, Mechanical Engineering, Chemical Engineering, or Computer Science is required. Greater than 10 years with capabilities in below areas is preferred: In-depth understanding of PLC hardware/software platforms such as Rockwell Automation, Siemens, and Modicon. In-depth understanding of HMI/SCADA hardware/software platforms such GE iFIX, Rockwell Automation FactoryTalk View, Inductive Automations Ignition, and Siemens. In-depth understanding of DCS hardware/software platform such as Emerson DeltaV, Rockwell PlantPAx, or similar. Familiarity with electrical schematics, including power distribution under 480VAC, control panels, and associated NEC references desired. Experience with AutoCAD is also desired. Experience leading a team of engineers/technicians to provide control system solutions. Experience mentoring less experienced engineers. Proven experience in managing, leading and guiding automation design and implementation projects in the Life Science industry. Proven experience with all phases of automation projects including conceptual, basis of design, implementation, testing, start-up and commissioning of Process Control System (PCS), SCADA, and DCS in the Life Science industry. Strong knowledge of S88 Batch Familiarity with relevant regulatory requirements of the life science industry such as cGMP, 21 CFR Part 11, etc. Familiarity with GAMP5, System and Software Development Life Cycles methodologies, validation Strong knowledge of IT/OT networking Extensive knowledge of automation protocols such as HART, Foundation Fieldbus, Traditional I/O, Profibus, Ethernet I/P, OPC, Modbus TCP/IP, etc. Experience with relational databases such as SQL, Oracle, etc. Excellent technical writing and verbal communication skills Professional references, preferably one from each of the following: a subordinate, a peer, and a manager. About us: is a Top Staffing Firm thats partnered with some of the largest names in various industries. Our professional recruitment teams put talented individuals to work at client locations all over the world, and we have hundreds of exciting career opportunities for you to explore! or to speak to a Recruiting Professional directly, call (phone number removed). BestLogic Staffing is proud to be an Equal Opportunity Employer All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, national origin, disability, protected veteran status, or any other characteristic protected by law. Your Right to Work In compliance with federal law, all persons hired will be required to verify identity and eligibility to work in the United States and to complete the required employment eligibility verification document form upon hire.
Date Posted: 2023-03-28 Country: United States of America Location: AZ201: RMS AP Bldg East Hermans Road Building 801, Tucson, AZ, 85756 USA Position Role Type: Hybrid About Us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies defend freedoms and deter aggression. We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat. Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary: The Electro-Optics (EO) Department at Raytheon is seeking an Optical-Mechanical Design & Analysis Engineering Tech Fellow that is responsible for designing advanced optical sensors and seeker systems in support of new product development. The candidate will lead teams in defining optical-mechanical design solutions, being responsible for performance and cost and supporting these products through their development, implementation, verification, and maintenance. Additionally, they will be responsible for shaping the optical seeker development strategy, including road maps for Product Lines and new opto-mechanical related technologies. The candidate shall demonstrate expertise in all of the subsystems that are necessary for developing an optical sensor and seeker including experience in how these systems interact with the overall guidance unit. This includes expertise in structural design/analysis, system survivability in extreme environments, gimbal and mechanism design, bearing design/analysis, gimbal and mechanism dynamics, optical mounting and alignment concepts and system performance modeling. The selected candidate will be required to effectively interact with engineers across multiple disciplines including systems, EO subsystems, optics, opto-mechanics, detectors, cryogenics, Guidance Navigation and control (GNC), and EO system test and verification. They will be expected to effectively present their work in program and Business Unit reviews and to provide expert counseling and mentoring. They will lead teams and provide technical oversight and task delegation as appropriate. The customers of this position will include internal Raytheon personnel and programs, as well as external Government(s) personnel and programs. The chosen candidate will work with the customer and engineering leadership to define design requirements to validate and to verify that hardware being designed is compliant with requirements. As a Fellow, it is expected that the candidate work across Product Lines and Business Units as dictated by the critical needs of the Enterprise. Additionally, the Fellow will seek out and establish apprenticeships to enable a teaching culture at Raytheon. In this position, they must have exhibited a proven track record with national recognition of an electro-optical seeker architecture mindset in developing sensor products and working across a product's life cycle. The Fellow will encompass a history of design innovation validated by patents and technical papers, serving as the expert through integration and qualification of electro-optical seekers, and leading Failure Investigation Teams in resolution to complex production sustainment issues and / or sensor anomalies. We value diverse skills and experience, so don't hold back. Your qualification could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate : Lead selection of optical-mechanical seeker design solutions for Raytheon EO products with considerations for its role in the system, all phases of engineering and production, and the product life cycle Lead trade studies on various seeker systems for instance: gimbaled vs fixed post, survivability in extreme environments such as high speed and high G, and structurally induced line of sight and image smear effects Support electro-optical modeling and simulation activities Lead development of requirements in support of optical-mechanical design, environmental survivability and the requirement flow to components Drive the development, implementation, verification and maintenance of optical sensor / seeker designs in close collaboration with other disciplines to provide a mature optimized missile product Identify strategic optical engineering development opportunities Propose and lead independent research and development (IRAD) projects for the advancement of optical-mechanical sensor / seeker engineering solutions Ensure balance of risk, performance, and cost Be accountable to leadership for technical decisions Participate in major technical review boards Communicate and collaborate with Program Management and Technical Leadership, Engineering Leadership, Manufacturing, Suppliers, and Customers Guide, apprentice, mentor, and train colleagues within the optical-mechanical design area Qualifications You Must Have : Degree in Science, Technology, Engineering or Mathematics (STEM) Minimum 12 years' of prior engineering experience OR an Advanced degree and 10 years' of prior engineering experience Minimum 12 years' of optical-mechanical design, analysis and/or manufacturing experience on ultra-violet (0.3 um) through Long-Wave Infrared (14 um) optical systems and their associated mechanical subsystems Experience with CREO, ANSYS, and/or equivalent mechanical design tools Experience leading optical-mechanical design teams including, but not limited to: optics, gimbals, detector/camera systems, thermal and dynamics management, structural analysis, system modeling, requirements derivation, GNC impact, etc. Experience leading Optical Sensor / Seeker design trade studies and engineering efforts involving engineers across multiple disciplines Patents, Papers and/or Publications in related technical field The ability to obtain and maintain a U.S. government issued security clearance is required. U.S. citizenship is required, as only U.S. citizens are eligible for a security clearance. Qualifications We Value : MS or PhD in Mechanical Engineering or other related engineering field Demonstrated ability to write successful technical proposal volumes Demonstrated ability to develop a business strategic vision and execute changes Demonstrated ability to successfully lead teams Demonstrated ability to influence others to achieve technical and programmatic goals Demonstrated proactive problem solving experience Expert in Optical Sensor / Seeker System design and implementation Expert in performance and survivability design and analysis of sensor/seeker hardware in extreme environments. Experience in leadership and or senior engineering roles Demonstrated written and verbal communication ability to leadership Experience within the aerospace industry Active DoD issued Top Secret security clearance What We Offer: Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance and child/adult backup care. Location Information : This position is located in beautiful Tucson, AZ. Tucson has a friendly, caring and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round. Clearance Information: This position requires the eligibility to obtain a security clearance. The Defense Industrial Security Clearance Office (DISCO), an agency of the Department of Defense, handles and adjudicates the security clearance process. More information about Security Clearances can be found on the US Department of State government website here: Employee Referral Award Eligibility: This requisition is eligible for an employee referral award. ALL eligibility requirements must be met to receive the referral award. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
05/17/2024
Full time
Date Posted: 2023-03-28 Country: United States of America Location: AZ201: RMS AP Bldg East Hermans Road Building 801, Tucson, AZ, 85756 USA Position Role Type: Hybrid About Us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies defend freedoms and deter aggression. We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat. Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary: The Electro-Optics (EO) Department at Raytheon is seeking an Optical-Mechanical Design & Analysis Engineering Tech Fellow that is responsible for designing advanced optical sensors and seeker systems in support of new product development. The candidate will lead teams in defining optical-mechanical design solutions, being responsible for performance and cost and supporting these products through their development, implementation, verification, and maintenance. Additionally, they will be responsible for shaping the optical seeker development strategy, including road maps for Product Lines and new opto-mechanical related technologies. The candidate shall demonstrate expertise in all of the subsystems that are necessary for developing an optical sensor and seeker including experience in how these systems interact with the overall guidance unit. This includes expertise in structural design/analysis, system survivability in extreme environments, gimbal and mechanism design, bearing design/analysis, gimbal and mechanism dynamics, optical mounting and alignment concepts and system performance modeling. The selected candidate will be required to effectively interact with engineers across multiple disciplines including systems, EO subsystems, optics, opto-mechanics, detectors, cryogenics, Guidance Navigation and control (GNC), and EO system test and verification. They will be expected to effectively present their work in program and Business Unit reviews and to provide expert counseling and mentoring. They will lead teams and provide technical oversight and task delegation as appropriate. The customers of this position will include internal Raytheon personnel and programs, as well as external Government(s) personnel and programs. The chosen candidate will work with the customer and engineering leadership to define design requirements to validate and to verify that hardware being designed is compliant with requirements. As a Fellow, it is expected that the candidate work across Product Lines and Business Units as dictated by the critical needs of the Enterprise. Additionally, the Fellow will seek out and establish apprenticeships to enable a teaching culture at Raytheon. In this position, they must have exhibited a proven track record with national recognition of an electro-optical seeker architecture mindset in developing sensor products and working across a product's life cycle. The Fellow will encompass a history of design innovation validated by patents and technical papers, serving as the expert through integration and qualification of electro-optical seekers, and leading Failure Investigation Teams in resolution to complex production sustainment issues and / or sensor anomalies. We value diverse skills and experience, so don't hold back. Your qualification could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate : Lead selection of optical-mechanical seeker design solutions for Raytheon EO products with considerations for its role in the system, all phases of engineering and production, and the product life cycle Lead trade studies on various seeker systems for instance: gimbaled vs fixed post, survivability in extreme environments such as high speed and high G, and structurally induced line of sight and image smear effects Support electro-optical modeling and simulation activities Lead development of requirements in support of optical-mechanical design, environmental survivability and the requirement flow to components Drive the development, implementation, verification and maintenance of optical sensor / seeker designs in close collaboration with other disciplines to provide a mature optimized missile product Identify strategic optical engineering development opportunities Propose and lead independent research and development (IRAD) projects for the advancement of optical-mechanical sensor / seeker engineering solutions Ensure balance of risk, performance, and cost Be accountable to leadership for technical decisions Participate in major technical review boards Communicate and collaborate with Program Management and Technical Leadership, Engineering Leadership, Manufacturing, Suppliers, and Customers Guide, apprentice, mentor, and train colleagues within the optical-mechanical design area Qualifications You Must Have : Degree in Science, Technology, Engineering or Mathematics (STEM) Minimum 12 years' of prior engineering experience OR an Advanced degree and 10 years' of prior engineering experience Minimum 12 years' of optical-mechanical design, analysis and/or manufacturing experience on ultra-violet (0.3 um) through Long-Wave Infrared (14 um) optical systems and their associated mechanical subsystems Experience with CREO, ANSYS, and/or equivalent mechanical design tools Experience leading optical-mechanical design teams including, but not limited to: optics, gimbals, detector/camera systems, thermal and dynamics management, structural analysis, system modeling, requirements derivation, GNC impact, etc. Experience leading Optical Sensor / Seeker design trade studies and engineering efforts involving engineers across multiple disciplines Patents, Papers and/or Publications in related technical field The ability to obtain and maintain a U.S. government issued security clearance is required. U.S. citizenship is required, as only U.S. citizens are eligible for a security clearance. Qualifications We Value : MS or PhD in Mechanical Engineering or other related engineering field Demonstrated ability to write successful technical proposal volumes Demonstrated ability to develop a business strategic vision and execute changes Demonstrated ability to successfully lead teams Demonstrated ability to influence others to achieve technical and programmatic goals Demonstrated proactive problem solving experience Expert in Optical Sensor / Seeker System design and implementation Expert in performance and survivability design and analysis of sensor/seeker hardware in extreme environments. Experience in leadership and or senior engineering roles Demonstrated written and verbal communication ability to leadership Experience within the aerospace industry Active DoD issued Top Secret security clearance What We Offer: Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance and child/adult backup care. Location Information : This position is located in beautiful Tucson, AZ. Tucson has a friendly, caring and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round. Clearance Information: This position requires the eligibility to obtain a security clearance. The Defense Industrial Security Clearance Office (DISCO), an agency of the Department of Defense, handles and adjudicates the security clearance process. More information about Security Clearances can be found on the US Department of State government website here: Employee Referral Award Eligibility: This requisition is eligible for an employee referral award. ALL eligibility requirements must be met to receive the referral award. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
JOB SUMMARY: As a Maintenance Scheduler/Planner, you will play a crucial role in optimizing the maintenance activities and schedules for our organization's facilities and equipment. You will be responsible for coordinating and planning maintenance tasks, ensuring efficient resource allocation, and minimizing downtime. Your expertise in scheduling, coordination, and preventive and predictive maintenance will contribute to the overall effectiveness of our maintenance operations. The Maintenance Scheduler / Planner reports to the maintenance manager. This position will require about 5% travel and is not hybrid work eligible. JOB RESPONSIBILITIES ( not all inclusive): Maintenance Planning: Collaborate with maintenance teams, operations, vendors and suppliers, and other stakeholders to develop comprehensive maintenance plans. Review work requests, prioritize tasks, and determine appropriate scheduling and resources required. Ensure compliance with safety regulations and maintenance best practices. Preventive Maintenance: Develop and maintain a preventive and predictive maintenance program, including regular inspections, lubrications, and servicing schedules. Coordinate with maintenance technicians to schedule and execute preventive and predictive maintenance tasks. Continuously assess the effectiveness of preventive and predictive maintenance strategies and recommend improvements. Work Order Management: Process and review maintenance work orders. Assess the nature of the work, determine required resources and skill sets, and assign tasks accordingly. Ensure accuracy and completeness of work orders, including detailed instructions, materials, and estimated durations. Schedule Optimization: Develop and manage maintenance schedules to minimize downtime and maximize equipment availability. Optimize the allocation of resources, including labor, materials, and equipment, to ensure efficient and effective execution of maintenance activities. Coordinate with production and operations teams to schedule maintenance activities during planned downtime. Parts and Inventory Management: Collaborate with procurement and inventory teams to ensure timely availability of necessary parts, tools, and materials for maintenance activities. Monitor stock levels, initiate purchase requests, and maintain accurate inventory records. Identify opportunities for inventory optimization and cost savings. Documentation and Reporting: Maintain accurate and up-to-date records of maintenance activities, including work orders, schedules, maintenance histories, and equipment performance data. Generate reports on maintenance performance, highlighting key metrics, trends, and areas for improvement. Provide regular updates and communication to stakeholders regarding maintenance schedules and progress. Continuous Improvement: Identify opportunities to improve maintenance processes, increase efficiency, and reduce costs. Participate in cross-functional teams and initiatives focused on implementing best practices and continuous improvement methodologies. Proactively seek feedback from maintenance technicians and stakeholders to drive process enhancements. Other duties as assigned QUALIFICATIONS: BS Engineering, Associate Technical Degree or a High school diploma with 2 plus years of relevant technical experience. Certifications or additional education in maintenance management or leadership is a plus. Proven experience in maintenance scheduling, planning, or related field. Strong knowledge of maintenance principles, practices, and methodologies. Familiarity with computerized maintenance management systems (CMMS) or similar maintenance management software. Excellent organizational and time management skills. Ability to prioritize and manage multiple tasks effectively. Strong attention to detail and accuracy in work order creation and scheduling. Clear and effective communication and negotiation skills to collaborate with maintenance technicians and stakeholders. Analytical and problem-solving abilities to optimize maintenance schedules and resource allocation. Knowledge of safety regulations and compliance in maintenance operations. Ability to work well under pressure and adapt to changing priorities. Proficiency in using productivity software, such as spreadsheets and databases for reporting and analysis Ability to travel (5%) Hybrid remote work ineligible BENEFITS INCLUDE: Competitive salary; Comprehensive benefits to include: Medical Dental Vision 401(k) with employer match Retirement Account Parental Leave Fertility Services Adoption Assistance Paid Vacation Paid Holidays Tuition Reimbursement Life Insurance Short-Term and Long-Term Disability Flexible spending accounts Wellness Program with medical premium incentives And more -COVID Vaccine Personal Choice Employer -Interested / Qualified candidates, please apply online -No phone calls or third-party recruiters, please -Employment ready applicants only COMPANY INFORMATION: National Gypsum Company, headquartered in Charlotte, NC, is the exclusive service provider of reliable, high-performance building products marketed under the Gold Bond , ProForm and PermaBASE brands. The National Gypsum name - through its Gold Bond , ProForm and PermaBASE product lines - has been synonymous with high-quality, innovative products, and exceptional customer service since 1925. For decades, we have saved our customers time and money by providing the industry's best, most reliable building products, resources and services. We are Building Products for a Better Future one project at a time. National Gypsum Company operates as a family of companies working together toward a common goal with collaboration and open communication. Our corporate entities include: NG Corporate, LLC; National Gypsum Services Company; Gold Bond Building Products, LLC; Gold Bond Canada, LLC; ProForm Finishing Products, LLC; PermaBASE Building Products, LLC; and Unifix Inc. HIRING ENTITY: GOLD BOND BUILDING PRODUCTS, LLC The above information on this description has been designated to indicate the general nature and level of work. It is not designed to contain or be interpreted as a comprehensive inventory of all duties, responsibilities, and qualifications required of an associate assigned to this position. The company enforces a tobacco-free policy at all its locations. All National Gypsum Company and corporate affiliate companies, including: NG Corporate, LLC, National Gypsum Services Company, Gold Bond Building Products, LLC, Gold Bond Canada, LLC, ProForm Finishing Products, LLC, PermaBASE Building Products, LLC and Unifix Inc , are Equal Opportunity Employers. Please visit to view all of our exciting employment opportunities. Employment contingent upon successful completion of background investigation. Pre-employment drug screening is required. All companies participate in E-Verify. E-Verify is an internet-based system that compares information from an employee's Form I-9, Employment Eligibility Verification, to data from U.S. Department of Homeland Security and Social Security Administration records to confirm employment eligibility.
05/16/2024
Full time
JOB SUMMARY: As a Maintenance Scheduler/Planner, you will play a crucial role in optimizing the maintenance activities and schedules for our organization's facilities and equipment. You will be responsible for coordinating and planning maintenance tasks, ensuring efficient resource allocation, and minimizing downtime. Your expertise in scheduling, coordination, and preventive and predictive maintenance will contribute to the overall effectiveness of our maintenance operations. The Maintenance Scheduler / Planner reports to the maintenance manager. This position will require about 5% travel and is not hybrid work eligible. JOB RESPONSIBILITIES ( not all inclusive): Maintenance Planning: Collaborate with maintenance teams, operations, vendors and suppliers, and other stakeholders to develop comprehensive maintenance plans. Review work requests, prioritize tasks, and determine appropriate scheduling and resources required. Ensure compliance with safety regulations and maintenance best practices. Preventive Maintenance: Develop and maintain a preventive and predictive maintenance program, including regular inspections, lubrications, and servicing schedules. Coordinate with maintenance technicians to schedule and execute preventive and predictive maintenance tasks. Continuously assess the effectiveness of preventive and predictive maintenance strategies and recommend improvements. Work Order Management: Process and review maintenance work orders. Assess the nature of the work, determine required resources and skill sets, and assign tasks accordingly. Ensure accuracy and completeness of work orders, including detailed instructions, materials, and estimated durations. Schedule Optimization: Develop and manage maintenance schedules to minimize downtime and maximize equipment availability. Optimize the allocation of resources, including labor, materials, and equipment, to ensure efficient and effective execution of maintenance activities. Coordinate with production and operations teams to schedule maintenance activities during planned downtime. Parts and Inventory Management: Collaborate with procurement and inventory teams to ensure timely availability of necessary parts, tools, and materials for maintenance activities. Monitor stock levels, initiate purchase requests, and maintain accurate inventory records. Identify opportunities for inventory optimization and cost savings. Documentation and Reporting: Maintain accurate and up-to-date records of maintenance activities, including work orders, schedules, maintenance histories, and equipment performance data. Generate reports on maintenance performance, highlighting key metrics, trends, and areas for improvement. Provide regular updates and communication to stakeholders regarding maintenance schedules and progress. Continuous Improvement: Identify opportunities to improve maintenance processes, increase efficiency, and reduce costs. Participate in cross-functional teams and initiatives focused on implementing best practices and continuous improvement methodologies. Proactively seek feedback from maintenance technicians and stakeholders to drive process enhancements. Other duties as assigned QUALIFICATIONS: BS Engineering, Associate Technical Degree or a High school diploma with 2 plus years of relevant technical experience. Certifications or additional education in maintenance management or leadership is a plus. Proven experience in maintenance scheduling, planning, or related field. Strong knowledge of maintenance principles, practices, and methodologies. Familiarity with computerized maintenance management systems (CMMS) or similar maintenance management software. Excellent organizational and time management skills. Ability to prioritize and manage multiple tasks effectively. Strong attention to detail and accuracy in work order creation and scheduling. Clear and effective communication and negotiation skills to collaborate with maintenance technicians and stakeholders. Analytical and problem-solving abilities to optimize maintenance schedules and resource allocation. Knowledge of safety regulations and compliance in maintenance operations. Ability to work well under pressure and adapt to changing priorities. Proficiency in using productivity software, such as spreadsheets and databases for reporting and analysis Ability to travel (5%) Hybrid remote work ineligible BENEFITS INCLUDE: Competitive salary; Comprehensive benefits to include: Medical Dental Vision 401(k) with employer match Retirement Account Parental Leave Fertility Services Adoption Assistance Paid Vacation Paid Holidays Tuition Reimbursement Life Insurance Short-Term and Long-Term Disability Flexible spending accounts Wellness Program with medical premium incentives And more -COVID Vaccine Personal Choice Employer -Interested / Qualified candidates, please apply online -No phone calls or third-party recruiters, please -Employment ready applicants only COMPANY INFORMATION: National Gypsum Company, headquartered in Charlotte, NC, is the exclusive service provider of reliable, high-performance building products marketed under the Gold Bond , ProForm and PermaBASE brands. The National Gypsum name - through its Gold Bond , ProForm and PermaBASE product lines - has been synonymous with high-quality, innovative products, and exceptional customer service since 1925. For decades, we have saved our customers time and money by providing the industry's best, most reliable building products, resources and services. We are Building Products for a Better Future one project at a time. National Gypsum Company operates as a family of companies working together toward a common goal with collaboration and open communication. Our corporate entities include: NG Corporate, LLC; National Gypsum Services Company; Gold Bond Building Products, LLC; Gold Bond Canada, LLC; ProForm Finishing Products, LLC; PermaBASE Building Products, LLC; and Unifix Inc. HIRING ENTITY: GOLD BOND BUILDING PRODUCTS, LLC The above information on this description has been designated to indicate the general nature and level of work. It is not designed to contain or be interpreted as a comprehensive inventory of all duties, responsibilities, and qualifications required of an associate assigned to this position. The company enforces a tobacco-free policy at all its locations. All National Gypsum Company and corporate affiliate companies, including: NG Corporate, LLC, National Gypsum Services Company, Gold Bond Building Products, LLC, Gold Bond Canada, LLC, ProForm Finishing Products, LLC, PermaBASE Building Products, LLC and Unifix Inc , are Equal Opportunity Employers. Please visit to view all of our exciting employment opportunities. Employment contingent upon successful completion of background investigation. Pre-employment drug screening is required. All companies participate in E-Verify. E-Verify is an internet-based system that compares information from an employee's Form I-9, Employment Eligibility Verification, to data from U.S. Department of Homeland Security and Social Security Administration records to confirm employment eligibility.
Multiple roles available with leveling based on experience! Job Overview Our Systems Development team designs subsystems for various application segments, using the latest IP products from Arm and other vendors. We are looking for a creative and enthusiastic SoC Design Engineer to join the team and help develop these systems. As a SoC Design Engineer you will contribute to the specification, design and verification of various compute subsystems. You will join a small team of dedicated engineers in Austin, as well as collaborate with multiple other groups inside of Arm to design our subsystem products. Would you love a wider exposure across multiple IP products? Do you want an opportunity to work globally with various internal teams to deliver systems optimized for performance and power? Then we want to speak with you! Responsibilities As a creative design engineer with a knowledge of subsystems and SoCs you will be part of a team integrating IP and developing logic for subsystems. You'll work with the project team to understand and review the subsystem architecture, and develop the design specifications, verification team to review test plans, and help debug design issues as well as the performance analysis team to evaluate and improve subsystem performance. Your key responsibilities will include writing micro-architecture specifications, developing the RTL, fixing bugs and running various design checks. You are going to contribute to developing and enhancing the design methodologies used by the team where they will guide and support other members of the team as needed to enable the successful completion of project activities plus balance other opportunities such as working with Project Management on activities, plans, and schedules Required Skills & Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 5-10 years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A detailed understanding and experience of the current design techniques for complex SoC development. Experience creating design specifications Good knowledge of logic development using Verilog Experience with Perl, Python or other scripting language "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading small teams or projects Experience or knowledge in the following areas Synthesis and timing analysis Static design checks, including CDC, RDC, X-Propagation, Linting Power management techniques PCIe subsystems for large SoCs and server applications In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
05/16/2024
Full time
Multiple roles available with leveling based on experience! Job Overview Our Systems Development team designs subsystems for various application segments, using the latest IP products from Arm and other vendors. We are looking for a creative and enthusiastic SoC Design Engineer to join the team and help develop these systems. As a SoC Design Engineer you will contribute to the specification, design and verification of various compute subsystems. You will join a small team of dedicated engineers in Austin, as well as collaborate with multiple other groups inside of Arm to design our subsystem products. Would you love a wider exposure across multiple IP products? Do you want an opportunity to work globally with various internal teams to deliver systems optimized for performance and power? Then we want to speak with you! Responsibilities As a creative design engineer with a knowledge of subsystems and SoCs you will be part of a team integrating IP and developing logic for subsystems. You'll work with the project team to understand and review the subsystem architecture, and develop the design specifications, verification team to review test plans, and help debug design issues as well as the performance analysis team to evaluate and improve subsystem performance. Your key responsibilities will include writing micro-architecture specifications, developing the RTL, fixing bugs and running various design checks. You are going to contribute to developing and enhancing the design methodologies used by the team where they will guide and support other members of the team as needed to enable the successful completion of project activities plus balance other opportunities such as working with Project Management on activities, plans, and schedules Required Skills & Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 5-10 years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A detailed understanding and experience of the current design techniques for complex SoC development. Experience creating design specifications Good knowledge of logic development using Verilog Experience with Perl, Python or other scripting language "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading small teams or projects Experience or knowledge in the following areas Synthesis and timing analysis Static design checks, including CDC, RDC, X-Propagation, Linting Power management techniques PCIe subsystems for large SoCs and server applications In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
Moseley Technical Services, Inc.
Scottsdale, Arizona
Check out this new opportunity! Senior Advanced Systems Engineer- PWSA Mission SE Scottsdale, AZ Contract Position This position is supporting the next generation in developing mission critical systems for our national defense. You would be a part of creating the most effective and state of the art technologies for Intelligence, Surveillance and Reconnaissance supporting the Army, Navy, Air Force, Special Forces, and other Federal Government agencies working with our military. If you are interested in meaningful work on the newest innovations in defense, we invite you to join our team! Responsibilities: Perform on the Tranche 1 (T1) Operations and Integration (O&I) program. Work on the PWSA program occurs at three levels: Enterprise, GS, and GMI. The Enterprise level, comprises Ground Segment (GS), Space Segment (SS), and User Segment (which includes mission systems) work and is tranche agnostic. The Ground Segment level, comprises our ground development and integration responsibilities such as SUPERNOVAs, NOVAs, ground entry points (GEP), and associated ground networks. It started at T1 with the O&I program, carries on in T2 with the GMI program, and will continue in T3 and beyond. The GMI level, is work on T2 SUPERNOVAs and T2 GEPS. Qualifications: Bachelor's degree in Systems Engineering, or a related Science, Engineering or Mathematics field. Also requires 8+ years of job-related experience, or a Master's degree plus 6 years of job-related experience. Secret security clearance is required within a reasonable amount of time after hire Preferred Experience: Satellite communications knowledge Ground to Satellite communication knowledge Ground operations experience Technical Background: Demonstrated Satellite communications knowledge Satellite constellation contact planning experience Ground operations experience Performs design of networks, with working knowledge of networking protocols Performs detailed technical analyses for a software subsystem or system elements Experience in Software functional analysis and interface definitions, including Application Programing Interface experience System Engineering Experience: Researches and analyzes data, such as vendor products, COTS components, GFE/CFE, specifications, and manuals to determine feasibility of design or application Performs requirements analysis, requirements definition, requirements management, functional analysis, performance analysis, and system design and definition. Supports the generation of technical engineering products by using the appropriate standards, processes, procedures, and tools throughout the system development life cycle Facilitates discussions with senior levels of Customer Stakeholders Your Recruiter Still have questions? Reach out to Brian- (phone) (email) What to Expect What to Expect: Applicants selected for employment will be required to pass a pre-employment drug screening and background investigation which may include education, criminal and work history verifications. Accepted applicants will have the opportunity to be eligible for benefits, including medical and supplemental insurance and a 401K. Appreciation and gratitude for employees is a hallmark of organizations with low turnover. Moseley Technical Services, Inc. is an AA/EEO/Veterans/Disabled Employer. Resources To apply, send resume to: For more active job openings: For more information about Moseley visit:
05/16/2024
Full time
Check out this new opportunity! Senior Advanced Systems Engineer- PWSA Mission SE Scottsdale, AZ Contract Position This position is supporting the next generation in developing mission critical systems for our national defense. You would be a part of creating the most effective and state of the art technologies for Intelligence, Surveillance and Reconnaissance supporting the Army, Navy, Air Force, Special Forces, and other Federal Government agencies working with our military. If you are interested in meaningful work on the newest innovations in defense, we invite you to join our team! Responsibilities: Perform on the Tranche 1 (T1) Operations and Integration (O&I) program. Work on the PWSA program occurs at three levels: Enterprise, GS, and GMI. The Enterprise level, comprises Ground Segment (GS), Space Segment (SS), and User Segment (which includes mission systems) work and is tranche agnostic. The Ground Segment level, comprises our ground development and integration responsibilities such as SUPERNOVAs, NOVAs, ground entry points (GEP), and associated ground networks. It started at T1 with the O&I program, carries on in T2 with the GMI program, and will continue in T3 and beyond. The GMI level, is work on T2 SUPERNOVAs and T2 GEPS. Qualifications: Bachelor's degree in Systems Engineering, or a related Science, Engineering or Mathematics field. Also requires 8+ years of job-related experience, or a Master's degree plus 6 years of job-related experience. Secret security clearance is required within a reasonable amount of time after hire Preferred Experience: Satellite communications knowledge Ground to Satellite communication knowledge Ground operations experience Technical Background: Demonstrated Satellite communications knowledge Satellite constellation contact planning experience Ground operations experience Performs design of networks, with working knowledge of networking protocols Performs detailed technical analyses for a software subsystem or system elements Experience in Software functional analysis and interface definitions, including Application Programing Interface experience System Engineering Experience: Researches and analyzes data, such as vendor products, COTS components, GFE/CFE, specifications, and manuals to determine feasibility of design or application Performs requirements analysis, requirements definition, requirements management, functional analysis, performance analysis, and system design and definition. Supports the generation of technical engineering products by using the appropriate standards, processes, procedures, and tools throughout the system development life cycle Facilitates discussions with senior levels of Customer Stakeholders Your Recruiter Still have questions? Reach out to Brian- (phone) (email) What to Expect What to Expect: Applicants selected for employment will be required to pass a pre-employment drug screening and background investigation which may include education, criminal and work history verifications. Accepted applicants will have the opportunity to be eligible for benefits, including medical and supplemental insurance and a 401K. Appreciation and gratitude for employees is a hallmark of organizations with low turnover. Moseley Technical Services, Inc. is an AA/EEO/Veterans/Disabled Employer. Resources To apply, send resume to: For more active job openings: For more information about Moseley visit:
Job Overview: Arm's DFT methodology team works on DFT for projects, including soft IP, hard macros, testchips and physical library IP across all the Arm design sites. In addition, this team builds and drives DFT methodology and flows throughout all of Arm and works to get support from EDA vendors to support our methodologies. Responsibilities: Support DFT on multiple types of projects in multiple design centers and apply innovative DFT techniques and affect the content of forthcoming CPU, GPU, ML and systems IP, some years before they appear in mainstream products. This candidate will also contribute to DFT methodology by crafting flows, evaluating tool capabilities, helping other specialists on projects, detailing work through documentation, working with EDA vendors and propagating DFT methodologies. This position may also include meeting with customers for DFT training or to address DFT concerns. Required Skills and Experience : This role is for a Staff or Principal DFT engineer with 8 years plus experience Experience with Perl, TCL, and/or C programming Proficient in Unix/Linux environments Some core DFT skills are considered crucial for this position including some of the following: Knowledge of at-speed testing, test insertion and test coverage assessment, test pattern development, scan compression, Memory BIST, Logic BIST, JTAG, IJTAG, fault simulation, debug, verification, designing and conducting experiments/tool evaluations. Experience with Siemens, Cadence and/or Synopsys DFT tools "Nice To Have" Skills and Experience : Ability to build and deploy generic DFT flows Familiarity with IEEE standards such as 1500, 1149.1, 1687 and 1838 Familiarity with supporting silicon into volume production Knowledge of SSN and 3DIC Gained some exposure to digital ASIC front and backend design & verification processes Hands-on Synthesis and Static Timing Analysis (STA) experience Familiarity with current mobile SOC architectures and low power design practices would be an advantage Understanding of Functional Safety as it applies to DFT Working knowledge of Siemens MBIST and LBIST tools In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding. These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/16/2024
Full time
Job Overview: Arm's DFT methodology team works on DFT for projects, including soft IP, hard macros, testchips and physical library IP across all the Arm design sites. In addition, this team builds and drives DFT methodology and flows throughout all of Arm and works to get support from EDA vendors to support our methodologies. Responsibilities: Support DFT on multiple types of projects in multiple design centers and apply innovative DFT techniques and affect the content of forthcoming CPU, GPU, ML and systems IP, some years before they appear in mainstream products. This candidate will also contribute to DFT methodology by crafting flows, evaluating tool capabilities, helping other specialists on projects, detailing work through documentation, working with EDA vendors and propagating DFT methodologies. This position may also include meeting with customers for DFT training or to address DFT concerns. Required Skills and Experience : This role is for a Staff or Principal DFT engineer with 8 years plus experience Experience with Perl, TCL, and/or C programming Proficient in Unix/Linux environments Some core DFT skills are considered crucial for this position including some of the following: Knowledge of at-speed testing, test insertion and test coverage assessment, test pattern development, scan compression, Memory BIST, Logic BIST, JTAG, IJTAG, fault simulation, debug, verification, designing and conducting experiments/tool evaluations. Experience with Siemens, Cadence and/or Synopsys DFT tools "Nice To Have" Skills and Experience : Ability to build and deploy generic DFT flows Familiarity with IEEE standards such as 1500, 1149.1, 1687 and 1838 Familiarity with supporting silicon into volume production Knowledge of SSN and 3DIC Gained some exposure to digital ASIC front and backend design & verification processes Hands-on Synthesis and Static Timing Analysis (STA) experience Familiarity with current mobile SOC architectures and low power design practices would be an advantage Understanding of Functional Safety as it applies to DFT Working knowledge of Siemens MBIST and LBIST tools In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding. These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Are you ready for a new and exciting challenge? If you are a self-motivated design engineer with expertise in micro-architecture, logic design and PCIe and looking to make a difference in an innovative and inclusive team, you've come to the right place! Responsibilities As a creative verification engineer with a knowledge of I/O subsystems and SoCs you will be part of a team verifying functional correctness of PCIe, DDR and other I/O in SoC RTL. You will work with the project team to understand and review the architecture and design specifications, and to build a functional verification strategy. Your key responsibilities will include crafting test plans, developing SystemVerilog/Verilog testbenches and tests, and debugging of test failures and issues. You will also contribute to developing and improving the verification methodologies used by the team. In addition, you'll work closely with other teams on the design microarchitecture, verification methodologies, system performance, etc. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will balance other opportunities such as working with Project Management on activities, plans, and schedule as well as guide and support junior members of the team. Required skills & experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering (or similar field) plus 10-15 years of experience working in design or verification of sophisticated compute subsystems or SoCs, you will need: Solid understanding of digital hardware design and Verilog HDL. A detailed understanding and experience of the current verification strategies required for sophisticated SoC development, including software-based techniques Experience verifying subsystems for PCIe, LPDDR, HBM, UCIe, Ethernet Good knowledge of test plan creation and tracking Experience verifying RTL for SoC projects Low-level programming experience including C and Assembler Experience with Perl, Python or other scripting language Nice to have skills & experience: Experience with Arm-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Knowledge of Object-Oriented programming concepts. Experience or knowledge in the following areas Formal verification techniques Clock domain crossing verification Power aware verification Porting peripheral driver software Development and deployment of complex Arm SoCs on multiple Emulation platforms as well as direct hands-on test bench development. In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
05/16/2024
Full time
Are you ready for a new and exciting challenge? If you are a self-motivated design engineer with expertise in micro-architecture, logic design and PCIe and looking to make a difference in an innovative and inclusive team, you've come to the right place! Responsibilities As a creative verification engineer with a knowledge of I/O subsystems and SoCs you will be part of a team verifying functional correctness of PCIe, DDR and other I/O in SoC RTL. You will work with the project team to understand and review the architecture and design specifications, and to build a functional verification strategy. Your key responsibilities will include crafting test plans, developing SystemVerilog/Verilog testbenches and tests, and debugging of test failures and issues. You will also contribute to developing and improving the verification methodologies used by the team. In addition, you'll work closely with other teams on the design microarchitecture, verification methodologies, system performance, etc. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will balance other opportunities such as working with Project Management on activities, plans, and schedule as well as guide and support junior members of the team. Required skills & experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering (or similar field) plus 10-15 years of experience working in design or verification of sophisticated compute subsystems or SoCs, you will need: Solid understanding of digital hardware design and Verilog HDL. A detailed understanding and experience of the current verification strategies required for sophisticated SoC development, including software-based techniques Experience verifying subsystems for PCIe, LPDDR, HBM, UCIe, Ethernet Good knowledge of test plan creation and tracking Experience verifying RTL for SoC projects Low-level programming experience including C and Assembler Experience with Perl, Python or other scripting language Nice to have skills & experience: Experience with Arm-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Knowledge of Object-Oriented programming concepts. Experience or knowledge in the following areas Formal verification techniques Clock domain crossing verification Power aware verification Porting peripheral driver software Development and deployment of complex Arm SoCs on multiple Emulation platforms as well as direct hands-on test bench development. In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
J&M Business Solutions LLC
Los Angeles, California
J&M Business Solutions LLC: Your Gateway to Direct Hire Opportunities Welcome to J&M Business Solutions LLC, your trusted partner in finding direct hire employment opportunities. As a one-woman recruitment act, I specialize in connecting talented individuals like you with reputable clients seeking permanent or contract direct hires. Unlike traditional staffing agencies, I operate on a unique principle: when a position is offered through J&M Business Solutions LLC, you won't work for me or through me. Instead, you'll directly engage with the client, whether as a permanent direct hire or a contract direct hire. What sets us apart is our commitment to transparency and empowerment. When you secure a position through J&M Business Solutions LLC, you have the freedom to negotiate schedules, pay, and any potential benefits directly with the client. This ensures that your employment terms align perfectly with your preferences and priorities. At J&M Business Solutions LLC, our mission is simple: to serve as your bridge to rewarding career opportunities while empowering you to take control of your professional journey. Partner with us today and unlock the doors to your next career move. Job Summary As an Associate Electrical Engineer, you will have the opportunity to apply your technical knowledge of building services systems across a portfolio of ground-breaking projects in the US and globally. Strong communication skills and teamwork are essential to life at Buro Happold and in turn, we can offer you unrivalled career, project, and development opportunities. What would you be doing? As a key part of the team, your responsibilities will be varied, but likely to include: Work closely with clients, architects and other Buro Happold Engineers and/or design team members as part of a dedicated and skilled team Produce coordinated detailed design drawings, electronic models, specifications, written reports, site observation reports, schedules etc. on a variety of projects within the electrical discipline, as well as the coordination with utility companies and obtaining the necessary electrical permit approvals from Plan Check Organize technical and financial proposals for new work Manage workload of Project Discipline Engineers and Technicians (including verification of quality). Take responsibility for concept and preliminary engineering solutions for detail design Prepare Technical Specifications Monitor financial performance of jobs Keep senior staff informed of important and relevant service/design decisions and the objectives of the client are achieved Mentor junior Electrical Engineers Continually ensure practices, policies, strategy and services represent the clients best interests and that Buro Happold policy is in keeping with current legislation and the relevant building codes for the relevant state etc Plan, organize and manage personal workload in order to meet deadlines in line with client commitments Proactively raise concerns regarding workload and ability to meet deadlines Maintain an overview of the project teams workload and commitments in order to successfully meet deadlines and balance resource utilization Actively contribute towards delivering profitable projects in the context of project finances Monitor financial performance of jobs, with the setting up and managing of job/project administrative systems, including planning and monitoring job resourcing/expenditure (adjusting these as necessary). What are we looking for? 8+ years experience of electrical engineering design in the building services and construction industry Experience working on projects in the following sectors is preferred: Higher Education, Museums, Commercial, Transportation Hubs and/or Stadiums Relevant bachelor or masters degree Professional Engineer (P.E.) desirable LEED AP accredited preferred Experience using Revit Familiarity using computational analysis tools such as electrical fault analysis and lighting design software Ability to accept a high degree of responsibility in a team-based organization, combined with ability to work independently Excellent technical knowledge of electrical systems; ability to investigate, identify and provide solutions to technical problems Excellent client-facing and communication skills (verbal and written), with experience presenting (you will often be required to present solutions directly to 'c-suite' level stakeholders) Experience in the preparation of technical reports for delivery direct to a client audience Ability to problem solve and propose multiple solutions to Clients and objectively summarize the benefits and shortfalls of each In return Solve some of the most interesting challenges on world-class projects in collaboration with top architects Work within a truly multidisciplinary environment, capitalizing on Buro Happolds extensive knowledge base in CFD, building physics, micro-climate analysis, climate change mitigation and energy master planning to inform truly environmentally responsive MEP designs Advance your career within a forward-thinking, global community of engineering professionals Have a positive impact on the environment through your work locally, nationally, and internationally What we offer: Generous benefits package Annual discretionary bonus Generous PTO (4-5 weeks depending on position) in addition to 10 paid holidays 401k with company match Hybrid working & summer hours Reimbursement for certain professional licenses and associated renewals and exam fees Global network of experts Learning and development opportunities Be a part of our Young Employees Forum and our Diversity and Inclusion Forum Must have: 8+ years experience of electrical engineering design in the building services and construction industry i.e. Higher Education commercial, museums, higher education buildings, airports (larger scale projects, residential wouldnt be relevant) Must have done electrical engineering work in the state of CA. Competency in using design software such as Revit and familiarity with computational analysis tools for electrical fault analysis and lighting design. Capability to lead projects and mentor junior engineers within the team, fostering their growth and development. Nice to have: Professional Engineer (P.E.) Certification: Possession of a Professional Engineer (P.E.) certification to enhance credibility and expertise in the field. LEED AP Accreditation: Accreditation as a Leadership in Energy and Environmental Design (LEED) Accredited Professional demonstrates expertise in sustainable design practices.
05/16/2024
Full time
J&M Business Solutions LLC: Your Gateway to Direct Hire Opportunities Welcome to J&M Business Solutions LLC, your trusted partner in finding direct hire employment opportunities. As a one-woman recruitment act, I specialize in connecting talented individuals like you with reputable clients seeking permanent or contract direct hires. Unlike traditional staffing agencies, I operate on a unique principle: when a position is offered through J&M Business Solutions LLC, you won't work for me or through me. Instead, you'll directly engage with the client, whether as a permanent direct hire or a contract direct hire. What sets us apart is our commitment to transparency and empowerment. When you secure a position through J&M Business Solutions LLC, you have the freedom to negotiate schedules, pay, and any potential benefits directly with the client. This ensures that your employment terms align perfectly with your preferences and priorities. At J&M Business Solutions LLC, our mission is simple: to serve as your bridge to rewarding career opportunities while empowering you to take control of your professional journey. Partner with us today and unlock the doors to your next career move. Job Summary As an Associate Electrical Engineer, you will have the opportunity to apply your technical knowledge of building services systems across a portfolio of ground-breaking projects in the US and globally. Strong communication skills and teamwork are essential to life at Buro Happold and in turn, we can offer you unrivalled career, project, and development opportunities. What would you be doing? As a key part of the team, your responsibilities will be varied, but likely to include: Work closely with clients, architects and other Buro Happold Engineers and/or design team members as part of a dedicated and skilled team Produce coordinated detailed design drawings, electronic models, specifications, written reports, site observation reports, schedules etc. on a variety of projects within the electrical discipline, as well as the coordination with utility companies and obtaining the necessary electrical permit approvals from Plan Check Organize technical and financial proposals for new work Manage workload of Project Discipline Engineers and Technicians (including verification of quality). Take responsibility for concept and preliminary engineering solutions for detail design Prepare Technical Specifications Monitor financial performance of jobs Keep senior staff informed of important and relevant service/design decisions and the objectives of the client are achieved Mentor junior Electrical Engineers Continually ensure practices, policies, strategy and services represent the clients best interests and that Buro Happold policy is in keeping with current legislation and the relevant building codes for the relevant state etc Plan, organize and manage personal workload in order to meet deadlines in line with client commitments Proactively raise concerns regarding workload and ability to meet deadlines Maintain an overview of the project teams workload and commitments in order to successfully meet deadlines and balance resource utilization Actively contribute towards delivering profitable projects in the context of project finances Monitor financial performance of jobs, with the setting up and managing of job/project administrative systems, including planning and monitoring job resourcing/expenditure (adjusting these as necessary). What are we looking for? 8+ years experience of electrical engineering design in the building services and construction industry Experience working on projects in the following sectors is preferred: Higher Education, Museums, Commercial, Transportation Hubs and/or Stadiums Relevant bachelor or masters degree Professional Engineer (P.E.) desirable LEED AP accredited preferred Experience using Revit Familiarity using computational analysis tools such as electrical fault analysis and lighting design software Ability to accept a high degree of responsibility in a team-based organization, combined with ability to work independently Excellent technical knowledge of electrical systems; ability to investigate, identify and provide solutions to technical problems Excellent client-facing and communication skills (verbal and written), with experience presenting (you will often be required to present solutions directly to 'c-suite' level stakeholders) Experience in the preparation of technical reports for delivery direct to a client audience Ability to problem solve and propose multiple solutions to Clients and objectively summarize the benefits and shortfalls of each In return Solve some of the most interesting challenges on world-class projects in collaboration with top architects Work within a truly multidisciplinary environment, capitalizing on Buro Happolds extensive knowledge base in CFD, building physics, micro-climate analysis, climate change mitigation and energy master planning to inform truly environmentally responsive MEP designs Advance your career within a forward-thinking, global community of engineering professionals Have a positive impact on the environment through your work locally, nationally, and internationally What we offer: Generous benefits package Annual discretionary bonus Generous PTO (4-5 weeks depending on position) in addition to 10 paid holidays 401k with company match Hybrid working & summer hours Reimbursement for certain professional licenses and associated renewals and exam fees Global network of experts Learning and development opportunities Be a part of our Young Employees Forum and our Diversity and Inclusion Forum Must have: 8+ years experience of electrical engineering design in the building services and construction industry i.e. Higher Education commercial, museums, higher education buildings, airports (larger scale projects, residential wouldnt be relevant) Must have done electrical engineering work in the state of CA. Competency in using design software such as Revit and familiarity with computational analysis tools for electrical fault analysis and lighting design. Capability to lead projects and mentor junior engineers within the team, fostering their growth and development. Nice to have: Professional Engineer (P.E.) Certification: Possession of a Professional Engineer (P.E.) certification to enhance credibility and expertise in the field. LEED AP Accreditation: Accreditation as a Leadership in Energy and Environmental Design (LEED) Accredited Professional demonstrates expertise in sustainable design practices.
Job Overview Would you love a wider exposure across multiple IP products? Do you want an opportunity to work globally with various internal teams to deliver systems optimized for performance and power? Then we want to speak with you! Our Systems Development team designs subsystems for various application segments, using the latest IP products from Arm and other vendors. We are looking for a creative and enthusiastic SoC Design Lead to join the team and help develop these systems. As a SoC Design Lead you will be responsible for the specification and design of various compute subsystems and SoCs. You will join a small team of dedicated engineers in Austin, as well as collaborate with multiple other groups inside of Arm to design our products. Responsibilities As a creative design engineer with a knowledge of subsystems and SoCs you will lead a team integrating IP and developing logic for infrastructure SoCs. You will work with the project team to understand and review the subsystem architecture, and develop the design specifications. You will also work with the verification team to review test plans, and help debug design issues as well as the performance analysis team to evaluate and improve subsystem performance. Your key responsibilities will include planning the design activities and delivering the SoC RTL to the required quality and timelines. You will contribute to developing and enhancing the design methodologies used by the team. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will work closely with Project Management and other stakeholders to review plans and schedules, and to present project metrics and status. Required Skills & Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 15-20 years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A detailed understanding and experience of the current design techniques for complex SoC development. Experience creating design specifications Good knowledge of logic development using Verilog "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading teams or projects Experience or knowledge in the following areas: Synthesis and timing analysis Static design checks, including CDC, RDC, X-Propagation, Linting Power management techniques PCIe subsystems for large SoCs and server applications In Return: This position offers an incredible opportunity to join a growing, highly influential organization at the center of one of the most exciting markets and to lead from the front as the organization engages in a more sophisticated way. We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
05/15/2024
Full time
Job Overview Would you love a wider exposure across multiple IP products? Do you want an opportunity to work globally with various internal teams to deliver systems optimized for performance and power? Then we want to speak with you! Our Systems Development team designs subsystems for various application segments, using the latest IP products from Arm and other vendors. We are looking for a creative and enthusiastic SoC Design Lead to join the team and help develop these systems. As a SoC Design Lead you will be responsible for the specification and design of various compute subsystems and SoCs. You will join a small team of dedicated engineers in Austin, as well as collaborate with multiple other groups inside of Arm to design our products. Responsibilities As a creative design engineer with a knowledge of subsystems and SoCs you will lead a team integrating IP and developing logic for infrastructure SoCs. You will work with the project team to understand and review the subsystem architecture, and develop the design specifications. You will also work with the verification team to review test plans, and help debug design issues as well as the performance analysis team to evaluate and improve subsystem performance. Your key responsibilities will include planning the design activities and delivering the SoC RTL to the required quality and timelines. You will contribute to developing and enhancing the design methodologies used by the team. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will work closely with Project Management and other stakeholders to review plans and schedules, and to present project metrics and status. Required Skills & Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 15-20 years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A detailed understanding and experience of the current design techniques for complex SoC development. Experience creating design specifications Good knowledge of logic development using Verilog "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading teams or projects Experience or knowledge in the following areas: Synthesis and timing analysis Static design checks, including CDC, RDC, X-Propagation, Linting Power management techniques PCIe subsystems for large SoCs and server applications In Return: This position offers an incredible opportunity to join a growing, highly influential organization at the center of one of the most exciting markets and to lead from the front as the organization engages in a more sophisticated way. We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
Arm System IP enables designers to build Arm AMBA systems that are high performance, power efficient and reliable. Configurable for many different applications, System IP is the right choice for your system whether it is a high-efficiency IoT endpoint or a high-performance server SoC. The collection of silicon proven interconnects, security IP, system controllers, debug and trace and IP tooling are all designed, validated and optimized to be used with Arm Cortex processors and Arm Mali Multimedia IP. Built upon the open AMBA interface standard, Arm System IP provides design teams with the foundation for building better systems. Job Requirements: As an RTL Design Engineer, you would responsible for one or more functional units of the Memory Controller while working closely with performance modeling, validation, and implementation teams to meet all functional requirements and performance, power, area (PPA) goals. Development of high-level specifications and requirements for Memory Controller products Definition of detailed micro-architecture plans Development and implementation of SystemVerilog RTL logic design for sophisticated blocks and functions within the design Collaborate with key partners on the design and verification teams to close on functional and coverage requirements Debug functional and/or performance issues within the RTL using modern simulation and debug tools Must Have Skills & Experience Bachelor's or Master's degree in Computer Science or Electrical/Computer Engineering Prior RTL design experience is required. 5+ years work experience in microprocessor, SoC, memory controller and/or interconnect IP design Knowledge of DRAM specification (e.g., LPDDR4/5, DDR4/5) and of bus protocols (e.g., AMBA5 CHI, AMBA4 ACE or AXI) Experience with Verilog or VHDL, coupled with design synthesis targeted to achieve specified frequency, power, and area targets "Nice to Have" Skills & Experience Prior verification or CAD experience is a plus Experience with CPU or compute subsystem memory micro-architecture Processor system knowledge including basic understanding of SoC systems as well as operating system software In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
05/15/2024
Full time
Arm System IP enables designers to build Arm AMBA systems that are high performance, power efficient and reliable. Configurable for many different applications, System IP is the right choice for your system whether it is a high-efficiency IoT endpoint or a high-performance server SoC. The collection of silicon proven interconnects, security IP, system controllers, debug and trace and IP tooling are all designed, validated and optimized to be used with Arm Cortex processors and Arm Mali Multimedia IP. Built upon the open AMBA interface standard, Arm System IP provides design teams with the foundation for building better systems. Job Requirements: As an RTL Design Engineer, you would responsible for one or more functional units of the Memory Controller while working closely with performance modeling, validation, and implementation teams to meet all functional requirements and performance, power, area (PPA) goals. Development of high-level specifications and requirements for Memory Controller products Definition of detailed micro-architecture plans Development and implementation of SystemVerilog RTL logic design for sophisticated blocks and functions within the design Collaborate with key partners on the design and verification teams to close on functional and coverage requirements Debug functional and/or performance issues within the RTL using modern simulation and debug tools Must Have Skills & Experience Bachelor's or Master's degree in Computer Science or Electrical/Computer Engineering Prior RTL design experience is required. 5+ years work experience in microprocessor, SoC, memory controller and/or interconnect IP design Knowledge of DRAM specification (e.g., LPDDR4/5, DDR4/5) and of bus protocols (e.g., AMBA5 CHI, AMBA4 ACE or AXI) Experience with Verilog or VHDL, coupled with design synthesis targeted to achieve specified frequency, power, and area targets "Nice to Have" Skills & Experience Prior verification or CAD experience is a plus Experience with CPU or compute subsystem memory micro-architecture Processor system knowledge including basic understanding of SoC systems as well as operating system software In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
Description: Job Title: Quality Assurance Supervisor Department: Operations Reports to: Plant Manager with dotted line to Director of Quality FLSA Status: Non-Exempt Travel: Limited, as needed to other sites or Corporate Headquarter Job Summary The Quality Assurance Manager is responsible for ensuring that the products manufactured in the organization fits the purpose and meets the customer's expectations. Assures consistent quality of production by developing and enforcing Quality Management System, (QMS); validating processes; providing documentation; managing staff. Involved in the process to plan, coordinate, organize and control of the production within the facility. The incumbent is expected to be a self-starter, a good manager of time, work independently and follow Altor's standards for safety and conduct. Essential Duties and Responsibilities - other duties may be assigned Achieve quality assurance operational objectives by contributing information and analysis to strategic plans and reviews; preparing and completing action plans; implementing production, productivity, quality, and customer service standards; identifying and resolving problems; completing audits; determining system improvements; implementing change. Develops quality assurance plans by conducting hazard analyses; identifying critical control points and preventive measures; establishing critical limits, monitoring procedures, corrective actions, and verification procedures; monitoring inventories. Validates quality processes by establishing product specifications and quality attributes; measuring production; documenting evidence; determining operational and performance qualification; writing and updating quality assurance procedures. Maintains and improves product quality by completing product, company, system compliance and surveillance audits; investigating customer complaints; collaborating with other members of management to develop new product and engineering designs and manufacturing and training methods. Prepares and maintains quality documentation and reports by collecting, analyzing, and summarizing information and trends including failed processes, stability studies, recalls, corrective actions and re-validations. Mentor and Coach Quality Team Members Assist with Six Sigma Efforts and Education in collaboration with the operations team Implementation of Root Cause Analysis and Corrective Action Process Problem Containment, Investigation and Response to Customers Data Analysis and Trend Analysis Partner with Operations in problem solving process Develop QMS Improvement plans, annual goals, and submit data to support budget Assist with internal QMS Audits and external supplier quality audits Updates job knowledge by studying trends in and developments in quality management; participating in educational opportunities; reading professional publications; maintaining personal networks; participating in professional organizations. Enhances department and organization reputation by accepting ownership for accomplishing new and different requests; exploring opportunities to add value to job accomplishments. Carries out supervisory responsibilities in accordance with the Company organizational policies/procedures and applicable laws/regulations. Responsibilities include interviewing, hiring, and training employees; planning, assigning and directing work; appraising performance; rewarding and disciplining employees; addressing complaints and resolving problems. Follows Plant specified job specification and task list. Assist buyers with training and educating potential suppliers to ensure compliance. Work closely with Operations Manager and/or General Manager on any customer quality requirements and issues. Requirements: Qualifications To perform this job successfully, an individual must be able to perform each essential duty satisfactorily. The requirements listed below are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions. Minimum Requirements Strong fit with the members of the management team and the ability to work with all levels of employees within the organization. Outstanding character and personal values that are aligned with the company's culture. Education Requirements/Work Experience Associate's' Degree from an accredited college or university or equivalent experience required. Bachelor's degree and Quality certification (CQMOE, CQE, or CQA) preferred Manufacturing industry-specific experience preferred Computer Skills To perform this job successfully, an individual should be proficient with Microsoft Office MS Word, Excel, and PowerPoint. Experience with ERP (NetSuites). Competencies To perform the job successfully, an individual should demonstrate the following competencies: Integrity: Behaves in an honest, fair, and ethical manner. Speaks openly and truthfully with team members and accepts responsibility for actions. Understands the importance of maintaining confidentiality and fosters an environment where integrity, honesty and accountability flourish. Promotes transparent and accountable actions and acts decisively against dishonest conduct. Demonstrates the ability to gain confidence of their employees and customers by respecting the confidentiality and privacy of their concerns and needs and encourages collaboration, trust, foresight and listening between team members. Considerate of others' points of view and treats others with courtesy and professionalism. Teamwork: Capable of being approached and easy to work with. Contributes to the success of the team, communicates clearly individually and in group settings while treating others with respect. Listens to others and works well within diverse groups. Consistently places team needs over individual needs and seeks input from people with different experiences and perspectives and recognizes the differences of opportunities to learn and gain by working together. Values and encourages unique skills and talents. Accountability: Takes personal responsibility for the quality and timeliness of work and achieves results with little to minimal supervision. Consistently meets all deadlines and follows through on completing tasks while holding self and other accountable for actions and/or appointed responsibilities and manages one's own performance to proactively ensure team accountability. Problem Resolution: Demonstrates the ability to effectively problem solve and set priorities while delivering results in a timely manner and effectively obtains results through planning and delegation. Analyzes problems skillfully and uses data to reach solutions while demonstrating the ability to appropriately take calculated risks or escalates decisions to consult with others when appropriate. Communication: Creates an environment of open communication amongst team members while providing regular, consistent, and meaningful information. Actively listens carefully to others and ensures messages are understood. Demonstrates the ability to communicate information clearly, concisely, and thoroughly in a timely manner. Has the ability to tailor communication styles to the subject matter and to the needs of the recipient. Is credible and confident through communication and presentations and persuasively presents thoughts and ideas to the audience. Job Knowledge: Demonstrates relevant job knowledge and essential skills, such as work practices, policies, procedures, quality assurance, and technical abilities. Demonstrates self-improvement efforts to enhance skills and knowledge with changes impacting the job while adhering to workplace rules as well as to traditional or socially expected methods of doing business following processes and procedures. Continuous Improvement: Consistently finds ways to improve methods, processes and/or procedures to improve quality of work and to maximize efficiency. Quickly identifies process inefficiencies and takes independent action to make improvements. Willing supports new ideas while energizing others within the workforce to embrace changes that enhance efficiency. Understands and applies formal methods to analyze and eliminate non-value-added work and support process environments. Willingly seeks opportunities and shares different approaches to address problems while generating practical ideas and workable solutions to solve problems or address issues. Identifies and accepts failures as learning opportunities. Initiative: Ability to assess and initiate things independently with limited supervision required. Has the ability to manage resources to achieve results, while possessing the ability to manage multiple activities to accomplish goals. Actively seeks and does more than required or requested in the job and plans ahead for upcoming problems or opportunities and takes appropriate action when required. Planning & Organizing: Manages resources to ensure work is completed timely and accurately. Proactively anticipates potential problems and develops contingency plans to overcome obstacles. Anticipates deviations and plan and actively reorganizes work as necessary. Demonstrates the ability to manage time expertly to complete work ahead of schedule while utilizing available resources to complete work efficiently. Physical Demands . click apply for full job details
05/15/2024
Full time
Description: Job Title: Quality Assurance Supervisor Department: Operations Reports to: Plant Manager with dotted line to Director of Quality FLSA Status: Non-Exempt Travel: Limited, as needed to other sites or Corporate Headquarter Job Summary The Quality Assurance Manager is responsible for ensuring that the products manufactured in the organization fits the purpose and meets the customer's expectations. Assures consistent quality of production by developing and enforcing Quality Management System, (QMS); validating processes; providing documentation; managing staff. Involved in the process to plan, coordinate, organize and control of the production within the facility. The incumbent is expected to be a self-starter, a good manager of time, work independently and follow Altor's standards for safety and conduct. Essential Duties and Responsibilities - other duties may be assigned Achieve quality assurance operational objectives by contributing information and analysis to strategic plans and reviews; preparing and completing action plans; implementing production, productivity, quality, and customer service standards; identifying and resolving problems; completing audits; determining system improvements; implementing change. Develops quality assurance plans by conducting hazard analyses; identifying critical control points and preventive measures; establishing critical limits, monitoring procedures, corrective actions, and verification procedures; monitoring inventories. Validates quality processes by establishing product specifications and quality attributes; measuring production; documenting evidence; determining operational and performance qualification; writing and updating quality assurance procedures. Maintains and improves product quality by completing product, company, system compliance and surveillance audits; investigating customer complaints; collaborating with other members of management to develop new product and engineering designs and manufacturing and training methods. Prepares and maintains quality documentation and reports by collecting, analyzing, and summarizing information and trends including failed processes, stability studies, recalls, corrective actions and re-validations. Mentor and Coach Quality Team Members Assist with Six Sigma Efforts and Education in collaboration with the operations team Implementation of Root Cause Analysis and Corrective Action Process Problem Containment, Investigation and Response to Customers Data Analysis and Trend Analysis Partner with Operations in problem solving process Develop QMS Improvement plans, annual goals, and submit data to support budget Assist with internal QMS Audits and external supplier quality audits Updates job knowledge by studying trends in and developments in quality management; participating in educational opportunities; reading professional publications; maintaining personal networks; participating in professional organizations. Enhances department and organization reputation by accepting ownership for accomplishing new and different requests; exploring opportunities to add value to job accomplishments. Carries out supervisory responsibilities in accordance with the Company organizational policies/procedures and applicable laws/regulations. Responsibilities include interviewing, hiring, and training employees; planning, assigning and directing work; appraising performance; rewarding and disciplining employees; addressing complaints and resolving problems. Follows Plant specified job specification and task list. Assist buyers with training and educating potential suppliers to ensure compliance. Work closely with Operations Manager and/or General Manager on any customer quality requirements and issues. Requirements: Qualifications To perform this job successfully, an individual must be able to perform each essential duty satisfactorily. The requirements listed below are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions. Minimum Requirements Strong fit with the members of the management team and the ability to work with all levels of employees within the organization. Outstanding character and personal values that are aligned with the company's culture. Education Requirements/Work Experience Associate's' Degree from an accredited college or university or equivalent experience required. Bachelor's degree and Quality certification (CQMOE, CQE, or CQA) preferred Manufacturing industry-specific experience preferred Computer Skills To perform this job successfully, an individual should be proficient with Microsoft Office MS Word, Excel, and PowerPoint. Experience with ERP (NetSuites). Competencies To perform the job successfully, an individual should demonstrate the following competencies: Integrity: Behaves in an honest, fair, and ethical manner. Speaks openly and truthfully with team members and accepts responsibility for actions. Understands the importance of maintaining confidentiality and fosters an environment where integrity, honesty and accountability flourish. Promotes transparent and accountable actions and acts decisively against dishonest conduct. Demonstrates the ability to gain confidence of their employees and customers by respecting the confidentiality and privacy of their concerns and needs and encourages collaboration, trust, foresight and listening between team members. Considerate of others' points of view and treats others with courtesy and professionalism. Teamwork: Capable of being approached and easy to work with. Contributes to the success of the team, communicates clearly individually and in group settings while treating others with respect. Listens to others and works well within diverse groups. Consistently places team needs over individual needs and seeks input from people with different experiences and perspectives and recognizes the differences of opportunities to learn and gain by working together. Values and encourages unique skills and talents. Accountability: Takes personal responsibility for the quality and timeliness of work and achieves results with little to minimal supervision. Consistently meets all deadlines and follows through on completing tasks while holding self and other accountable for actions and/or appointed responsibilities and manages one's own performance to proactively ensure team accountability. Problem Resolution: Demonstrates the ability to effectively problem solve and set priorities while delivering results in a timely manner and effectively obtains results through planning and delegation. Analyzes problems skillfully and uses data to reach solutions while demonstrating the ability to appropriately take calculated risks or escalates decisions to consult with others when appropriate. Communication: Creates an environment of open communication amongst team members while providing regular, consistent, and meaningful information. Actively listens carefully to others and ensures messages are understood. Demonstrates the ability to communicate information clearly, concisely, and thoroughly in a timely manner. Has the ability to tailor communication styles to the subject matter and to the needs of the recipient. Is credible and confident through communication and presentations and persuasively presents thoughts and ideas to the audience. Job Knowledge: Demonstrates relevant job knowledge and essential skills, such as work practices, policies, procedures, quality assurance, and technical abilities. Demonstrates self-improvement efforts to enhance skills and knowledge with changes impacting the job while adhering to workplace rules as well as to traditional or socially expected methods of doing business following processes and procedures. Continuous Improvement: Consistently finds ways to improve methods, processes and/or procedures to improve quality of work and to maximize efficiency. Quickly identifies process inefficiencies and takes independent action to make improvements. Willing supports new ideas while energizing others within the workforce to embrace changes that enhance efficiency. Understands and applies formal methods to analyze and eliminate non-value-added work and support process environments. Willingly seeks opportunities and shares different approaches to address problems while generating practical ideas and workable solutions to solve problems or address issues. Identifies and accepts failures as learning opportunities. Initiative: Ability to assess and initiate things independently with limited supervision required. Has the ability to manage resources to achieve results, while possessing the ability to manage multiple activities to accomplish goals. Actively seeks and does more than required or requested in the job and plans ahead for upcoming problems or opportunities and takes appropriate action when required. Planning & Organizing: Manages resources to ensure work is completed timely and accurately. Proactively anticipates potential problems and develops contingency plans to overcome obstacles. Anticipates deviations and plan and actively reorganizes work as necessary. Demonstrates the ability to manage time expertly to complete work ahead of schedule while utilizing available resources to complete work efficiently. Physical Demands . click apply for full job details
Are you ready for a new and exciting challenge? If you are a self-motivated design engineer with expertise in micro-architecture, logic design and PCIe and looking to make a difference in an innovative and inclusive team, you've come to the right place! Responsibilities As a creative design engineer with a knowledge of I/O subsystems and SoCs you will be part of a team integrating PCIe, DDR and other IP for SoCs. You will work with the project team to understand and review the architecture and develop the design specifications. Your key responsibilities will include writing micro-architecture specifications, developing the RTL, fixing bugs, and running various design checks. You will work with the verification team to review test plans and help debug design issues. You will work with the performance analysis team to evaluate and improve I/O performance. You will work with backend implementation team to help with floor planning, writing constraints, and timing closure. You will also contribute to developing and enhancing the design methodologies used by the team. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will balance other opportunities such as working with Project Management on activities, plans, and schedules. Required Skills and Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 7+ years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A thorough understanding and experience of the current design techniques for complex SoC development. Experience developing and integrating subsystems for PCIe, DDR/LPDDR, HBM, UCIe, Ethernet Experience creating design specifications and developing RTL for SoC projects Experience in Static design checks, including CDC, RDC, X-Propagation, Linting Experience with Perl, Python or other scripting language "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading small teams or projects Experience or knowledge in the following areas Synthesis and timing analysis Power management techniques DFT and physical implementation In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
05/15/2024
Full time
Are you ready for a new and exciting challenge? If you are a self-motivated design engineer with expertise in micro-architecture, logic design and PCIe and looking to make a difference in an innovative and inclusive team, you've come to the right place! Responsibilities As a creative design engineer with a knowledge of I/O subsystems and SoCs you will be part of a team integrating PCIe, DDR and other IP for SoCs. You will work with the project team to understand and review the architecture and develop the design specifications. Your key responsibilities will include writing micro-architecture specifications, developing the RTL, fixing bugs, and running various design checks. You will work with the verification team to review test plans and help debug design issues. You will work with the performance analysis team to evaluate and improve I/O performance. You will work with backend implementation team to help with floor planning, writing constraints, and timing closure. You will also contribute to developing and enhancing the design methodologies used by the team. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will balance other opportunities such as working with Project Management on activities, plans, and schedules. Required Skills and Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 7+ years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A thorough understanding and experience of the current design techniques for complex SoC development. Experience developing and integrating subsystems for PCIe, DDR/LPDDR, HBM, UCIe, Ethernet Experience creating design specifications and developing RTL for SoC projects Experience in Static design checks, including CDC, RDC, X-Propagation, Linting Experience with Perl, Python or other scripting language "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading small teams or projects Experience or knowledge in the following areas Synthesis and timing analysis Power management techniques DFT and physical implementation In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
Job Overview: Are you passionate about shaping the future of chip design? In the Solutions Engineering group at Arm, we offer the outstanding opportunity for an experienced Power Analysis Engineer to join our successful team in a dynamic and diverse role! Arm is establishing a team to develop best-in-class silicon platforms based on Arm's IP Compute Subsystem solutions, addressing markets such as premium mobile, infrastructure, and automotive. Arm's ambition is to demonstrate efficient performance by architecting, designing, implementing, and fabricating pioneering silicon test chips using the latest SoC process nodes and packaging technologies. This is an exciting and unique initiative, where we are driving how the next generation of leading compute devices are built across the industry. Join Arm to be part of the solution! Responsibilities: You will join a highly focused group where we analyze and optimize the power of our next generation compute solutions using innovative technologies, methodologies and tools. Analyze the power efficiency of SoC design features from early estimation to final product validation. Developing and running RTL simulator and emulator based workloads to analyze the power of the hardware design. Taking pre-silicon design power measurements throughout the SoC development cycle from early modeling, RTL analysis, to in-depth timing annotated netlist analysis. Analysis engineers collaborate with multiple teams from SoC Architecture, Performance Analysis, Microarchitecture Design, to Physical design to develop and analyze real software use-cases and the physical hardware. Building relevant metrics along with visualization to demonstrate the hardware power signature and capabilities of the compute subsystems. Reviewing the quality and accuracy of data produced by the latest EDA power analysis tool flows. Continuously innovating by improving the power analysis methodologies used by the team. Required Skills and Experience : We are seeking experienced engineers for a multi-disciplinary role in power analysis. Ideal candidates have past experience in power analysis or are motivated engineers with valuable transferable skills from design, implementation, or verification backgrounds. Skilled in performing power modeling or pre-silicon power analysis flows. Experience with low power design features and techniques, including clock and power gating, voltage/frequency scaling, memory/logic retention. Ability to understand and balance trade-offs between power, performance, and area. Familiar with developing RTL using Verilog, System Verilog, or VHDL. Knowledge of Physical Implementation flow from RTL through Synthesis, Place & Route to STA. "Nice To Have" Skills and Experience : A background in development based on Arm processor based SoC system designs. A Bachelor's (BS), Master's (MS/MSc), or equivalent degree in Electronics, Electrical, or Computer Engineering. Candidates with other degrees will be considered if they have relevant work experience. Development or analysis of CPU or Graphics benchmarks for PPA analysis. Experience using tools for power analysis, power delivery and signoff. (e.g. PowerPro, PrimePower, Redhawk, etc) Background in running simulation/emulation tools. (e.g. VCS, Questasim, Incisive, Veloce Strato, Palladium, Zebu, etc) Good understanding of the concepts and tools related to synthesis, place & route, clock tree synthesis, constraint development, timing closure. (e.g. Innovus, Tempus, etc) In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/15/2024
Full time
Job Overview: Are you passionate about shaping the future of chip design? In the Solutions Engineering group at Arm, we offer the outstanding opportunity for an experienced Power Analysis Engineer to join our successful team in a dynamic and diverse role! Arm is establishing a team to develop best-in-class silicon platforms based on Arm's IP Compute Subsystem solutions, addressing markets such as premium mobile, infrastructure, and automotive. Arm's ambition is to demonstrate efficient performance by architecting, designing, implementing, and fabricating pioneering silicon test chips using the latest SoC process nodes and packaging technologies. This is an exciting and unique initiative, where we are driving how the next generation of leading compute devices are built across the industry. Join Arm to be part of the solution! Responsibilities: You will join a highly focused group where we analyze and optimize the power of our next generation compute solutions using innovative technologies, methodologies and tools. Analyze the power efficiency of SoC design features from early estimation to final product validation. Developing and running RTL simulator and emulator based workloads to analyze the power of the hardware design. Taking pre-silicon design power measurements throughout the SoC development cycle from early modeling, RTL analysis, to in-depth timing annotated netlist analysis. Analysis engineers collaborate with multiple teams from SoC Architecture, Performance Analysis, Microarchitecture Design, to Physical design to develop and analyze real software use-cases and the physical hardware. Building relevant metrics along with visualization to demonstrate the hardware power signature and capabilities of the compute subsystems. Reviewing the quality and accuracy of data produced by the latest EDA power analysis tool flows. Continuously innovating by improving the power analysis methodologies used by the team. Required Skills and Experience : We are seeking experienced engineers for a multi-disciplinary role in power analysis. Ideal candidates have past experience in power analysis or are motivated engineers with valuable transferable skills from design, implementation, or verification backgrounds. Skilled in performing power modeling or pre-silicon power analysis flows. Experience with low power design features and techniques, including clock and power gating, voltage/frequency scaling, memory/logic retention. Ability to understand and balance trade-offs between power, performance, and area. Familiar with developing RTL using Verilog, System Verilog, or VHDL. Knowledge of Physical Implementation flow from RTL through Synthesis, Place & Route to STA. "Nice To Have" Skills and Experience : A background in development based on Arm processor based SoC system designs. A Bachelor's (BS), Master's (MS/MSc), or equivalent degree in Electronics, Electrical, or Computer Engineering. Candidates with other degrees will be considered if they have relevant work experience. Development or analysis of CPU or Graphics benchmarks for PPA analysis. Experience using tools for power analysis, power delivery and signoff. (e.g. PowerPro, PrimePower, Redhawk, etc) Background in running simulation/emulation tools. (e.g. VCS, Questasim, Incisive, Veloce Strato, Palladium, Zebu, etc) Good understanding of the concepts and tools related to synthesis, place & route, clock tree synthesis, constraint development, timing closure. (e.g. Innovus, Tempus, etc) In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Job Overview: As an Implementation Engineer in Arm's Solutions group, we like to think we are not just crafting sophisticated SoCs, but we are defining future chip design techniques. Not only do we improve the power, performance, and system integration of our products, but we also craft the design flows, influence Electronic Design Automation (EDA) tools and build the knowledge base that makes high performance chip design possible. An as Implementation Engineer at Arm, our work goes beyond multiple divisions where we drive improved implementation for Arm and our partners. A key component of this is around the development of comprehensive implementation and analysis methodologies! Responsibilities: Physical design and implementation of sub-system around CPU cores, system interconnect and other ARM IP Investigate the tradeoffs involved in implementation. Analyze design timing and power to help improve the quality of ARM IP Converting R&D concepts into real implementation solutions. Enable our partners to achieve the best possible quality of results. Required Skills and Experience : Master's degree or equivalent experience in Electrical Engineering, Computer Engineering or other meaningful technical fields. Demonstrated ability in physical design and analysis The ability to demonstrate that they can express new ideas and communicate them effectively. Possess a high level of dedicated, initiative, and problem-solving skills. Experience working closely in top and block level floor planning, Place and Route, CTS, logical and physical optimization, timing closure and power analysis flows. "Nice To Have" Skills and Experience : Knowledge of Arm based SoCs Experience with a wide range of programming, scripting & data presentation languages Eg. Tcl, sh, csh, make, R, C, C++, Java, JS, HTML, Perl, Python, Ruby, Experience with low power design techniques (power gating, voltage/frequency scaling) Experience with Verilog RTL design. Experience with Static timing analysis Understanding and working experience in static and dynamic IR-drop analysis. Experience of power grid design and analysis. Experience of physical verification (LVS and DRC) flows. High level understanding of ATPG tools/and or production testing. In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding. These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/15/2024
Full time
Job Overview: As an Implementation Engineer in Arm's Solutions group, we like to think we are not just crafting sophisticated SoCs, but we are defining future chip design techniques. Not only do we improve the power, performance, and system integration of our products, but we also craft the design flows, influence Electronic Design Automation (EDA) tools and build the knowledge base that makes high performance chip design possible. An as Implementation Engineer at Arm, our work goes beyond multiple divisions where we drive improved implementation for Arm and our partners. A key component of this is around the development of comprehensive implementation and analysis methodologies! Responsibilities: Physical design and implementation of sub-system around CPU cores, system interconnect and other ARM IP Investigate the tradeoffs involved in implementation. Analyze design timing and power to help improve the quality of ARM IP Converting R&D concepts into real implementation solutions. Enable our partners to achieve the best possible quality of results. Required Skills and Experience : Master's degree or equivalent experience in Electrical Engineering, Computer Engineering or other meaningful technical fields. Demonstrated ability in physical design and analysis The ability to demonstrate that they can express new ideas and communicate them effectively. Possess a high level of dedicated, initiative, and problem-solving skills. Experience working closely in top and block level floor planning, Place and Route, CTS, logical and physical optimization, timing closure and power analysis flows. "Nice To Have" Skills and Experience : Knowledge of Arm based SoCs Experience with a wide range of programming, scripting & data presentation languages Eg. Tcl, sh, csh, make, R, C, C++, Java, JS, HTML, Perl, Python, Ruby, Experience with low power design techniques (power gating, voltage/frequency scaling) Experience with Verilog RTL design. Experience with Static timing analysis Understanding and working experience in static and dynamic IR-drop analysis. Experience of power grid design and analysis. Experience of physical verification (LVS and DRC) flows. High level understanding of ATPG tools/and or production testing. In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding. These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Job Overview: This role is part of the rapidly growing Arm Infrastructure Line of Business where we enable deployment of the Arm Neoverse platform in Cloud, Edge, 5G and Networking markets! To enable our Silicon and System design partners to build the right solutions leveraging Arm's Compute Subsystem (CSS) offerings, we need someone with experience in designing and deploying hardware in these segments. Systems Solutions Architect will work with partners in the ecosystem to define system definition to drive growth and adoption of Arm technologies for key cloud and data-center applications. Responsibilities: Help key design partners architect cloud hardware solutions that bring together Arm's CSS products, partner IP and their key IP to provide best-in-class performance, power efficiency, scalability, and time-to-market. Work together with segment solutions architects and multi-functional teams including hardware engineers, software developers, performance engineers, and researchers to align system deployment strategies with system design goals for key target segments. Engage with end customers such as Hyperscalers, OEMs, ODMs, data-center system and software companies to understand cloud use-cases, requirements, and opportunities for Arm. Keep pace with the latest advancements in data-center space, drive competitive analysis and find innovative solutions to prepare Arm for success. Support Product Managers on defining IP, interconnect, CSS designs for next-gen hardware. Promote Arm server solutions with Arm partners and wider industry, demonstrating both maturity of vision and technical leadership. Represent Arm's interests at key industry forums and technology working groups with goal of enabling widespread adoption of Arm solutions. Required Skills and Experience : MS or PhD in Electrical Engineering or Computer Engineering (other degrees will be considered with relevant work experience) 10+ years as a systems/solutions architect with a background in Silicon and hardware design. Industry knowledge of various design points in the cloud including servers, accelerators, networking, and storage equipment. Experience helping customers build and deploy hardware in cloud covering rack-scale architecture, accelerator/IO interconnect, power efficiency and cooling solutions etc. Experience working with Silicon and IP design and verification teams, board design and bring-up teams, system modeling, simulation and emulation techniques. "Nice To Have" Skills and Experience : Understanding of cloud applications and software architecture and their impact on hardware designs and vice-versa. Knowledge of Arm eco-system of software and hardware partners. Good communication and collaboration skills, ability to build technical consensus across multiple teams and bring clarity to poorly defined and complex problems. Familiarity with SoC design flow, validation, test/product engineering and packaging techniques. Ability to generate consistent, complete, and concise written specifications. Experience driving industry standards and presenting at various industry technical forums and events. Salary Range: From: $230,265 To: $311,535 In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises Arm is poised to lead the next generation of innovation, adoption and growth in the Cloud/Data-center space! This is an outstanding opportunity to influence the direction that the industry is taking and learn emerging trends in this space.
05/15/2024
Full time
Job Overview: This role is part of the rapidly growing Arm Infrastructure Line of Business where we enable deployment of the Arm Neoverse platform in Cloud, Edge, 5G and Networking markets! To enable our Silicon and System design partners to build the right solutions leveraging Arm's Compute Subsystem (CSS) offerings, we need someone with experience in designing and deploying hardware in these segments. Systems Solutions Architect will work with partners in the ecosystem to define system definition to drive growth and adoption of Arm technologies for key cloud and data-center applications. Responsibilities: Help key design partners architect cloud hardware solutions that bring together Arm's CSS products, partner IP and their key IP to provide best-in-class performance, power efficiency, scalability, and time-to-market. Work together with segment solutions architects and multi-functional teams including hardware engineers, software developers, performance engineers, and researchers to align system deployment strategies with system design goals for key target segments. Engage with end customers such as Hyperscalers, OEMs, ODMs, data-center system and software companies to understand cloud use-cases, requirements, and opportunities for Arm. Keep pace with the latest advancements in data-center space, drive competitive analysis and find innovative solutions to prepare Arm for success. Support Product Managers on defining IP, interconnect, CSS designs for next-gen hardware. Promote Arm server solutions with Arm partners and wider industry, demonstrating both maturity of vision and technical leadership. Represent Arm's interests at key industry forums and technology working groups with goal of enabling widespread adoption of Arm solutions. Required Skills and Experience : MS or PhD in Electrical Engineering or Computer Engineering (other degrees will be considered with relevant work experience) 10+ years as a systems/solutions architect with a background in Silicon and hardware design. Industry knowledge of various design points in the cloud including servers, accelerators, networking, and storage equipment. Experience helping customers build and deploy hardware in cloud covering rack-scale architecture, accelerator/IO interconnect, power efficiency and cooling solutions etc. Experience working with Silicon and IP design and verification teams, board design and bring-up teams, system modeling, simulation and emulation techniques. "Nice To Have" Skills and Experience : Understanding of cloud applications and software architecture and their impact on hardware designs and vice-versa. Knowledge of Arm eco-system of software and hardware partners. Good communication and collaboration skills, ability to build technical consensus across multiple teams and bring clarity to poorly defined and complex problems. Familiarity with SoC design flow, validation, test/product engineering and packaging techniques. Ability to generate consistent, complete, and concise written specifications. Experience driving industry standards and presenting at various industry technical forums and events. Salary Range: From: $230,265 To: $311,535 In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises Arm is poised to lead the next generation of innovation, adoption and growth in the Cloud/Data-center space! This is an outstanding opportunity to influence the direction that the industry is taking and learn emerging trends in this space.
Job Overview: Arm's Solutions group DFT team implements DFT for SOC for client, datacenter, automotive, and IOT line of business using the latest DFT and process technologies. We closely collaborate with Arm's partners and internal RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE! Responsibilities: Architect DFT solutions for SOC catering to multiple line of business Coordinates DFT requirements across SOC, IP and product teams. Implement, and validate innovative DFT techniques on SOCs and sub-systems. Insert DFT logic into SoC as well as sub-system level and validate all DFT features using industry standard simulation tools. Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Participate in ATE targeted test patterns, validation and silicon- debug Work closely Test and product engineering teams on silicon characterization and validation. Required Skills and Experience : This role is for a Senior Principal DFT Architect with 16+ years of proven experience in Design for Test 10+ years of experience handing DFT architecture for complex SOCs in leading technology nodes. Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, DFT mode timing constraints, back-annotated gate level verification, silicon debug, memory and scan diagnostics. Experience with 2.5D and 3D test Experience coding Verilog RTL, TCL and/or Perl. "Nice To Have" Skills and Experience : Familiarity with SoC style architectures including multi-clock domain and low power design practices. Previous experience managing a team of DFT Engineers Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Background in design, implementation and timing convergence is a plus Experience in leading datacenter SOCs is a plus. Experience with Cadence, and/or Synopsys DFT and simulation tools Salary Range: From:$283,305 To: $383,295 In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding! These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/15/2024
Full time
Job Overview: Arm's Solutions group DFT team implements DFT for SOC for client, datacenter, automotive, and IOT line of business using the latest DFT and process technologies. We closely collaborate with Arm's partners and internal RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE! Responsibilities: Architect DFT solutions for SOC catering to multiple line of business Coordinates DFT requirements across SOC, IP and product teams. Implement, and validate innovative DFT techniques on SOCs and sub-systems. Insert DFT logic into SoC as well as sub-system level and validate all DFT features using industry standard simulation tools. Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Participate in ATE targeted test patterns, validation and silicon- debug Work closely Test and product engineering teams on silicon characterization and validation. Required Skills and Experience : This role is for a Senior Principal DFT Architect with 16+ years of proven experience in Design for Test 10+ years of experience handing DFT architecture for complex SOCs in leading technology nodes. Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, DFT mode timing constraints, back-annotated gate level verification, silicon debug, memory and scan diagnostics. Experience with 2.5D and 3D test Experience coding Verilog RTL, TCL and/or Perl. "Nice To Have" Skills and Experience : Familiarity with SoC style architectures including multi-clock domain and low power design practices. Previous experience managing a team of DFT Engineers Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Background in design, implementation and timing convergence is a plus Experience in leading datacenter SOCs is a plus. Experience with Cadence, and/or Synopsys DFT and simulation tools Salary Range: From:$283,305 To: $383,295 In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding! These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Job Overview: Arm is seeking a highly motivated and experienced methodology engineer to join our Productivity Engineering Hardware group and drive solutions to optimize our IP and System development processes. Our group develops automation flows to improve development efficiency and enable earlier delivery of high-quality products. This is a great opportunity for someone with consistent track record of flow automation. You will join an innovative team passionate about developing and improving the tools and workflows that Arm uses to create IP and Systems for our partners all around the world! You will be constantly challenged to come up with innovative solutions to interesting problems. If you are successful, your solutions will be used by multiple teams across Arm, and have an impact across the engineering organization. Responsibilities Be responsible for the development and support of innovative methodology solutions using Machine-Readable Specifications and flow automation. Develop creative, exciting and pragmatic solutions to engaging problems. Work closely with solution architects and IP/system product teams to define, develop and deploy solutions Develop solutions, features and functionality through an Agile development process and iterative release process, to a consistently high-quality level Required Skills & Experience To be considered for this position, you should have: A Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering 7+ years industry experience in the design, verification or automation of CPU, GPU, System IP, SoC. Experience in developing, testing, delivering, and maintaining software solutions Good experience in Python programming Passion, creativity and outstanding interpersonal skills "Nice to have" Skills and Experience IP-XACT (IEEE-1685) awareness Good knowledge of both hardware and software domains Exposure to hardware synthesis flows A high level of pro-activity and initiative In Return At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/15/2024
Full time
Job Overview: Arm is seeking a highly motivated and experienced methodology engineer to join our Productivity Engineering Hardware group and drive solutions to optimize our IP and System development processes. Our group develops automation flows to improve development efficiency and enable earlier delivery of high-quality products. This is a great opportunity for someone with consistent track record of flow automation. You will join an innovative team passionate about developing and improving the tools and workflows that Arm uses to create IP and Systems for our partners all around the world! You will be constantly challenged to come up with innovative solutions to interesting problems. If you are successful, your solutions will be used by multiple teams across Arm, and have an impact across the engineering organization. Responsibilities Be responsible for the development and support of innovative methodology solutions using Machine-Readable Specifications and flow automation. Develop creative, exciting and pragmatic solutions to engaging problems. Work closely with solution architects and IP/system product teams to define, develop and deploy solutions Develop solutions, features and functionality through an Agile development process and iterative release process, to a consistently high-quality level Required Skills & Experience To be considered for this position, you should have: A Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering 7+ years industry experience in the design, verification or automation of CPU, GPU, System IP, SoC. Experience in developing, testing, delivering, and maintaining software solutions Good experience in Python programming Passion, creativity and outstanding interpersonal skills "Nice to have" Skills and Experience IP-XACT (IEEE-1685) awareness Good knowledge of both hardware and software domains Exposure to hardware synthesis flows A high level of pro-activity and initiative In Return At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Job Overview: Are you passionate about shaping the future of chip design? In the Solutions Engineering group at Arm, we offer the outstanding opportunity for an experienced Power Analysis Engineer to join our successful team in a dynamic and diverse role! Arm is establishing a team to develop best-in-class silicon platforms based on Arm's IP Compute Subsystem solutions, addressing markets such as premium mobile, infrastructure, and automotive. Arm's ambition is to demonstrate efficient performance by architecting, designing, implementing, and fabricating pioneering silicon test chips using the latest SoC process nodes and packaging technologies. This is an exciting and unique initiative, where we are driving how the next generation of leading compute devices are built across the industry. Join Arm to be part of the solution! Responsibilities: You will join a highly focused group where we analyze and optimize the power of our next generation compute solutions using innovative technologies, methodologies and tools. Analyze the power efficiency of SoC design features from early estimation to final product validation. Developing and running RTL simulator and emulator based workloads to analyze the power of the hardware design. Taking pre-silicon design power measurements throughout the SoC development cycle from early modeling, RTL analysis, to in-depth timing annotated netlist analysis. Analysis engineers collaborate with multiple teams from SoC Architecture, Performance Analysis, Microarchitecture Design, to Physical design to develop and analyze real software use-cases and the physical hardware. Building relevant metrics along with visualization to demonstrate the hardware power signature and capabilities of the compute subsystems. Reviewing the quality and accuracy of data produced by the latest EDA power analysis tool flows. Continuously innovating by improving the power analysis methodologies used by the team. Required Skills and Experience : We are seeking experienced engineers for a multi-disciplinary role in power analysis. Ideal candidates have past experience in power analysis or are motivated engineers with valuable transferable skills from design, implementation, or verification backgrounds. Skilled in performing power modeling or pre-silicon power analysis flows. Experience with low power design features and techniques, including clock and power gating, voltage/frequency scaling, memory/logic retention. Ability to understand and balance trade-offs between power, performance, and area. Familiar with developing RTL using Verilog, System Verilog, or VHDL. Knowledge of Physical Implementation flow from RTL through Synthesis, Place & Route to STA. "Nice To Have" Skills and Experience : A background in development based on Arm processor based SoC system designs. A Bachelor's (BS), Master's (MS/MSc), or equivalent degree in Electronics, Electrical, or Computer Engineering. Candidates with other degrees will be considered if they have relevant work experience. Development or analysis of CPU or Graphics benchmarks for PPA analysis. Experience using tools for power analysis, power delivery and signoff. (e.g. PowerPro, PrimePower, Redhawk, etc) Background in running simulation/emulation tools. (e.g. VCS, Questasim, Incisive, Veloce Strato, Palladium, Zebu, etc) Good understanding of the concepts and tools related to synthesis, place & route, clock tree synthesis, constraint development, timing closure. (e.g. Innovus, Tempus, etc) In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/15/2024
Full time
Job Overview: Are you passionate about shaping the future of chip design? In the Solutions Engineering group at Arm, we offer the outstanding opportunity for an experienced Power Analysis Engineer to join our successful team in a dynamic and diverse role! Arm is establishing a team to develop best-in-class silicon platforms based on Arm's IP Compute Subsystem solutions, addressing markets such as premium mobile, infrastructure, and automotive. Arm's ambition is to demonstrate efficient performance by architecting, designing, implementing, and fabricating pioneering silicon test chips using the latest SoC process nodes and packaging technologies. This is an exciting and unique initiative, where we are driving how the next generation of leading compute devices are built across the industry. Join Arm to be part of the solution! Responsibilities: You will join a highly focused group where we analyze and optimize the power of our next generation compute solutions using innovative technologies, methodologies and tools. Analyze the power efficiency of SoC design features from early estimation to final product validation. Developing and running RTL simulator and emulator based workloads to analyze the power of the hardware design. Taking pre-silicon design power measurements throughout the SoC development cycle from early modeling, RTL analysis, to in-depth timing annotated netlist analysis. Analysis engineers collaborate with multiple teams from SoC Architecture, Performance Analysis, Microarchitecture Design, to Physical design to develop and analyze real software use-cases and the physical hardware. Building relevant metrics along with visualization to demonstrate the hardware power signature and capabilities of the compute subsystems. Reviewing the quality and accuracy of data produced by the latest EDA power analysis tool flows. Continuously innovating by improving the power analysis methodologies used by the team. Required Skills and Experience : We are seeking experienced engineers for a multi-disciplinary role in power analysis. Ideal candidates have past experience in power analysis or are motivated engineers with valuable transferable skills from design, implementation, or verification backgrounds. Skilled in performing power modeling or pre-silicon power analysis flows. Experience with low power design features and techniques, including clock and power gating, voltage/frequency scaling, memory/logic retention. Ability to understand and balance trade-offs between power, performance, and area. Familiar with developing RTL using Verilog, System Verilog, or VHDL. Knowledge of Physical Implementation flow from RTL through Synthesis, Place & Route to STA. "Nice To Have" Skills and Experience : A background in development based on Arm processor based SoC system designs. A Bachelor's (BS), Master's (MS/MSc), or equivalent degree in Electronics, Electrical, or Computer Engineering. Candidates with other degrees will be considered if they have relevant work experience. Development or analysis of CPU or Graphics benchmarks for PPA analysis. Experience using tools for power analysis, power delivery and signoff. (e.g. PowerPro, PrimePower, Redhawk, etc) Background in running simulation/emulation tools. (e.g. VCS, Questasim, Incisive, Veloce Strato, Palladium, Zebu, etc) Good understanding of the concepts and tools related to synthesis, place & route, clock tree synthesis, constraint development, timing closure. (e.g. Innovus, Tempus, etc) In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
The FPGA team belongs to the global Productivity Engineering group responsible for delivering and supporting FPGA platforms at Arm - enabling design, debug and deployment of pre-silicon verification methodologies. These system solutions target a wide range of market segments like mobile, server, IoT, and automotive. Job Description: As a FPGA Engineer, you will deliver on the FPGA prototyping requirements of one or more highly configurable systems - while collaborating with several local and cross-site teams to achieve verification goals. We seek individuals with exposure and knowledge of FPGA prototyping - with technical skills, a good eye for detail, and interpersonal skills. Are you a creative, positive, and adaptable person with a technical background? This is the role for you! Responsibilities: Development of FPGA images and debug flows for system prototyping purposes. Automation of FPGA flows & methodology such that they can be deployed to the user community. Provide support for the FPGA service to the service users. Collaboration with EDA vendors to drive requirements for next generation FPGA hardware and tools. Assisting in large-scale installation and maintenance of FPGA hardware in data center environments. Must Have Skills & Experience: FPGA experience in prototyping of complex IPs, SoCs, or CPUs. Experience with Xilinx FPGA flows, including compilation, debug, performance and implementation of advanced features. Consistent track record of project debug and execution. Understanding of digital design concepts and Verilog coding. Proficiency in problem solving and debugging. Great interpersonal and communication skills. "Nice To Have" Skills & Experience: Understanding of CPU-based system architecture and its verification. General understanding of Arm-based systems and protocols like AHB, AXI, ACE, and CHI. Use of scripting languages like Perl/Python/TCL/Shell. Knowledge of advance verification techniques like assertions, property checking etc. Knowledge of debugging System and IP level projects using Verdi or Visualizer Hands-on experience with maintaining FPGA systems in a data center environment. In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
05/15/2024
Full time
The FPGA team belongs to the global Productivity Engineering group responsible for delivering and supporting FPGA platforms at Arm - enabling design, debug and deployment of pre-silicon verification methodologies. These system solutions target a wide range of market segments like mobile, server, IoT, and automotive. Job Description: As a FPGA Engineer, you will deliver on the FPGA prototyping requirements of one or more highly configurable systems - while collaborating with several local and cross-site teams to achieve verification goals. We seek individuals with exposure and knowledge of FPGA prototyping - with technical skills, a good eye for detail, and interpersonal skills. Are you a creative, positive, and adaptable person with a technical background? This is the role for you! Responsibilities: Development of FPGA images and debug flows for system prototyping purposes. Automation of FPGA flows & methodology such that they can be deployed to the user community. Provide support for the FPGA service to the service users. Collaboration with EDA vendors to drive requirements for next generation FPGA hardware and tools. Assisting in large-scale installation and maintenance of FPGA hardware in data center environments. Must Have Skills & Experience: FPGA experience in prototyping of complex IPs, SoCs, or CPUs. Experience with Xilinx FPGA flows, including compilation, debug, performance and implementation of advanced features. Consistent track record of project debug and execution. Understanding of digital design concepts and Verilog coding. Proficiency in problem solving and debugging. Great interpersonal and communication skills. "Nice To Have" Skills & Experience: Understanding of CPU-based system architecture and its verification. General understanding of Arm-based systems and protocols like AHB, AXI, ACE, and CHI. Use of scripting languages like Perl/Python/TCL/Shell. Knowledge of advance verification techniques like assertions, property checking etc. Knowledge of debugging System and IP level projects using Verdi or Visualizer Hands-on experience with maintaining FPGA systems in a data center environment. In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
Job Overview: Arm's Solutions group DFT team implements DFT for SOC for client, datacenter, automotive, and IOT line of business using the latest DFT and process technologies. We closely collaborate with Arm's partners and internal RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE! Responsibilities: Architect, implement, and validate innovative DFT techniques on SOCs and sub-systems. Insert DFT logic into SoC as well as sub-system level and validate all DFT features using industry standard simulation tools. Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Participate in ATE targeted test patterns, validation and silicon- debug Work closely Test and product engineering teams on silicon characterization and validation. Required Skills and Experience : This role is for a Staff / Principal DFT Engineer with 8+ years of experience in Design for Test Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, back-annotated gate level verification, silicon debug, memory and scan diagnostics. Experience coding Verilog RTL, TCL and/or Perl Experience with Cadence, and/or Synopsys DFT and simulation tools "Nice To Have" Skills and Experience : Familiarity with SoC style architectures including multi-clock domain and low power design practices. Previous experience leading a team of DFT engineers Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Background in high design, implementation and DFT timing constraints is a big plus Experience in datacenter chips is plus Experience with 2.5D and 3D test In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding! These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
05/15/2024
Full time
Job Overview: Arm's Solutions group DFT team implements DFT for SOC for client, datacenter, automotive, and IOT line of business using the latest DFT and process technologies. We closely collaborate with Arm's partners and internal RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE! Responsibilities: Architect, implement, and validate innovative DFT techniques on SOCs and sub-systems. Insert DFT logic into SoC as well as sub-system level and validate all DFT features using industry standard simulation tools. Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Participate in ATE targeted test patterns, validation and silicon- debug Work closely Test and product engineering teams on silicon characterization and validation. Required Skills and Experience : This role is for a Staff / Principal DFT Engineer with 8+ years of experience in Design for Test Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, back-annotated gate level verification, silicon debug, memory and scan diagnostics. Experience coding Verilog RTL, TCL and/or Perl Experience with Cadence, and/or Synopsys DFT and simulation tools "Nice To Have" Skills and Experience : Familiarity with SoC style architectures including multi-clock domain and low power design practices. Previous experience leading a team of DFT engineers Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Background in high design, implementation and DFT timing constraints is a big plus Experience in datacenter chips is plus Experience with 2.5D and 3D test In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding! These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises