Date Posted: 2024-02-26 Country: United States of America Location: AZ800: RMS AP Bldg East Hermans Road Building 800, Tucson, AZ, 85756 USA Position Role Type: Onsite About Us: Raytheon combines our vast resources and investments and is dedicated to solving mission-level vs. product-level customer challenges - together we can anticipate more, move faster, and make a bigger impact on the big picture. This position is 100% on site in Tucson, Arizona Tucson has a friendly, caring, and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round . Job Summary: The Test Equipment Engineering (TEE) team within the Specialty Engineering Directorate is a multi-discipline organization responsible for developing test solutions for all Raytheon products. We are hands-on, designing with the latest technology and tools, and integrate state of the art test solutions with the most advanced engineering systems in the world. TEE products are in engineering labs, in the field, and in production environments utilized for weapon system product verification, qualification, test data analysis, and production test solution development. TEE has job opportunities across all phases of the engineering lifecycle, including demonstrations, early engineering tests, design verification tests, production, and sustainment. This position is focused on the development, integration, test, and maintenance of software as a part of on-site factory support of test systems to support product testing. The selected candidate will develop applications, libraries, and instrument drivers using the software style, development language, and integrated development environment specified by the organization. The selected candidate will contribute to multidiscipline engineering teams. Typical work involves the development of both engineering and production test equipment, environments, and systems. As such, the candidate will contribute to the completion of all stages of test product development for Raytheon Test products. They will be expected to act, either as a part of their team or independently, to uncover and resolve issues associated with the development and implementation of test systems. We value diverse skills and experience, so don't hold back. Your skills could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate: Develop and integrate object-oriented software applications using C#, C++ in Microsoft Visual Studio in the Windows Operating System (OS) Prioritize and handle multiple tasks in a production environment Troubleshooting software and test equipment Work in an engineering team environment Develop requirements for software products Written and interpersonal communication skills with Program Management Basic Qualifications: Typically requires a Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) and eight (8) years of prior relevant experience OR an Advanced degree and 5 years of prior relevant experience. Minimum of eight (8) years developing and integrating software applications using programming languages including but not limited to C++, C#, Java, Python or Visual Basic. Minimum of eight (8) years of professional engineering experience utilizing integrated development environments and debugging tools to troubleshoot software implementation and ensure integrity of the software products. Experience with Windows Operating System and/or Microsoft Visual Studio is required. The ability to obtain and maintain a U.S. Government issued (Secret) security clearance is required. U.S. citizenship is required, as only U.S. Citizens are eligible for a security clearance. Qualifications We Value: Working knowledge of computer architecture and computer hardware optimization techniques Extensive knowledge of the development of software drivers for the operation of computer hardware interfaces Extensive knowledge in common computer hardware interface and data protocols such as TCP/IP, UDP, RS-422 Familiarity with laboratory test instrumentation, electronics, and software (Ex. NI VISA, NI Measurement and Automation Explorer, NI Switch Executive) Professional experience with Unified Modeling Language (UML) to visualize software architecture and design (Ex. IBM Rhapsody, Cameo System Modeler, and Cameo Enterprise Architecture) Professional experience of software development lifecycles, such as Agile Experience with Software Configuration Management principles Experience with Microsoft Azure DevOps Server What We Offer : Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 96,000 USD - 200,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
04/29/2024
Full time
Date Posted: 2024-02-26 Country: United States of America Location: AZ800: RMS AP Bldg East Hermans Road Building 800, Tucson, AZ, 85756 USA Position Role Type: Onsite About Us: Raytheon combines our vast resources and investments and is dedicated to solving mission-level vs. product-level customer challenges - together we can anticipate more, move faster, and make a bigger impact on the big picture. This position is 100% on site in Tucson, Arizona Tucson has a friendly, caring, and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round . Job Summary: The Test Equipment Engineering (TEE) team within the Specialty Engineering Directorate is a multi-discipline organization responsible for developing test solutions for all Raytheon products. We are hands-on, designing with the latest technology and tools, and integrate state of the art test solutions with the most advanced engineering systems in the world. TEE products are in engineering labs, in the field, and in production environments utilized for weapon system product verification, qualification, test data analysis, and production test solution development. TEE has job opportunities across all phases of the engineering lifecycle, including demonstrations, early engineering tests, design verification tests, production, and sustainment. This position is focused on the development, integration, test, and maintenance of software as a part of on-site factory support of test systems to support product testing. The selected candidate will develop applications, libraries, and instrument drivers using the software style, development language, and integrated development environment specified by the organization. The selected candidate will contribute to multidiscipline engineering teams. Typical work involves the development of both engineering and production test equipment, environments, and systems. As such, the candidate will contribute to the completion of all stages of test product development for Raytheon Test products. They will be expected to act, either as a part of their team or independently, to uncover and resolve issues associated with the development and implementation of test systems. We value diverse skills and experience, so don't hold back. Your skills could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate: Develop and integrate object-oriented software applications using C#, C++ in Microsoft Visual Studio in the Windows Operating System (OS) Prioritize and handle multiple tasks in a production environment Troubleshooting software and test equipment Work in an engineering team environment Develop requirements for software products Written and interpersonal communication skills with Program Management Basic Qualifications: Typically requires a Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) and eight (8) years of prior relevant experience OR an Advanced degree and 5 years of prior relevant experience. Minimum of eight (8) years developing and integrating software applications using programming languages including but not limited to C++, C#, Java, Python or Visual Basic. Minimum of eight (8) years of professional engineering experience utilizing integrated development environments and debugging tools to troubleshoot software implementation and ensure integrity of the software products. Experience with Windows Operating System and/or Microsoft Visual Studio is required. The ability to obtain and maintain a U.S. Government issued (Secret) security clearance is required. U.S. citizenship is required, as only U.S. Citizens are eligible for a security clearance. Qualifications We Value: Working knowledge of computer architecture and computer hardware optimization techniques Extensive knowledge of the development of software drivers for the operation of computer hardware interfaces Extensive knowledge in common computer hardware interface and data protocols such as TCP/IP, UDP, RS-422 Familiarity with laboratory test instrumentation, electronics, and software (Ex. NI VISA, NI Measurement and Automation Explorer, NI Switch Executive) Professional experience with Unified Modeling Language (UML) to visualize software architecture and design (Ex. IBM Rhapsody, Cameo System Modeler, and Cameo Enterprise Architecture) Professional experience of software development lifecycles, such as Agile Experience with Software Configuration Management principles Experience with Microsoft Azure DevOps Server What We Offer : Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 96,000 USD - 200,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
Job Overview: Are you passionate about shaping the future of chip design? In the Solutions Engineering group at Arm, we offer the outstanding opportunity for an experienced Power Analysis Engineer to join our successful team in a dynamic and diverse role! Arm is establishing a team to develop best-in-class silicon platforms based on Arm's IP Compute Subsystem solutions, addressing markets such as premium mobile, infrastructure, and automotive. Arm's ambition is to demonstrate efficient performance by architecting, designing, implementing, and fabricating pioneering silicon test chips using the latest SoC process nodes and packaging technologies. This is an exciting and unique initiative, where we are driving how the next generation of leading compute devices are built across the industry. Join Arm to be part of the solution! Responsibilities: You will join a highly focused group where we analyze and optimize the power of our next generation compute solutions using innovative technologies, methodologies and tools. Analyze the power efficiency of SoC design features from early estimation to final product validation. Developing and running RTL simulator and emulator based workloads to analyze the power of the hardware design. Taking pre-silicon design power measurements throughout the SoC development cycle from early modeling, RTL analysis, to in-depth timing annotated netlist analysis. Analysis engineers collaborate with multiple teams from SoC Architecture, Performance Analysis, Microarchitecture Design, to Physical design to develop and analyze real software use-cases and the physical hardware. Building relevant metrics along with visualization to demonstrate the hardware power signature and capabilities of the compute subsystems. Reviewing the quality and accuracy of data produced by the latest EDA power analysis tool flows. Continuously innovating by improving the power analysis methodologies used by the team. Required Skills and Experience : We are seeking experienced engineers for a multi-disciplinary role in power analysis. Ideal candidates have past experience in power analysis or are motivated engineers with valuable transferable skills from design, implementation, or verification backgrounds. Skilled in performing power modeling or pre-silicon power analysis flows. Experience with low power design features and techniques, including clock and power gating, voltage/frequency scaling, memory/logic retention. Ability to understand and balance trade-offs between power, performance, and area. Familiar with developing RTL using Verilog, System Verilog, or VHDL. Knowledge of Physical Implementation flow from RTL through Synthesis, Place & Route to STA. "Nice To Have" Skills and Experience : A background in development based on Arm processor based SoC system designs. A Bachelor's (BS), Master's (MS/MSc), or equivalent degree in Electronics, Electrical, or Computer Engineering. Candidates with other degrees will be considered if they have relevant work experience. Development or analysis of CPU or Graphics benchmarks for PPA analysis. Experience using tools for power analysis, power delivery and signoff. (e.g. PowerPro, PrimePower, Redhawk, etc) Background in running simulation/emulation tools. (e.g. VCS, Questasim, Incisive, Veloce Strato, Palladium, Zebu, etc) Good understanding of the concepts and tools related to synthesis, place & route, clock tree synthesis, constraint development, timing closure. (e.g. Innovus, Tempus, etc) In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
04/29/2024
Full time
Job Overview: Are you passionate about shaping the future of chip design? In the Solutions Engineering group at Arm, we offer the outstanding opportunity for an experienced Power Analysis Engineer to join our successful team in a dynamic and diverse role! Arm is establishing a team to develop best-in-class silicon platforms based on Arm's IP Compute Subsystem solutions, addressing markets such as premium mobile, infrastructure, and automotive. Arm's ambition is to demonstrate efficient performance by architecting, designing, implementing, and fabricating pioneering silicon test chips using the latest SoC process nodes and packaging technologies. This is an exciting and unique initiative, where we are driving how the next generation of leading compute devices are built across the industry. Join Arm to be part of the solution! Responsibilities: You will join a highly focused group where we analyze and optimize the power of our next generation compute solutions using innovative technologies, methodologies and tools. Analyze the power efficiency of SoC design features from early estimation to final product validation. Developing and running RTL simulator and emulator based workloads to analyze the power of the hardware design. Taking pre-silicon design power measurements throughout the SoC development cycle from early modeling, RTL analysis, to in-depth timing annotated netlist analysis. Analysis engineers collaborate with multiple teams from SoC Architecture, Performance Analysis, Microarchitecture Design, to Physical design to develop and analyze real software use-cases and the physical hardware. Building relevant metrics along with visualization to demonstrate the hardware power signature and capabilities of the compute subsystems. Reviewing the quality and accuracy of data produced by the latest EDA power analysis tool flows. Continuously innovating by improving the power analysis methodologies used by the team. Required Skills and Experience : We are seeking experienced engineers for a multi-disciplinary role in power analysis. Ideal candidates have past experience in power analysis or are motivated engineers with valuable transferable skills from design, implementation, or verification backgrounds. Skilled in performing power modeling or pre-silicon power analysis flows. Experience with low power design features and techniques, including clock and power gating, voltage/frequency scaling, memory/logic retention. Ability to understand and balance trade-offs between power, performance, and area. Familiar with developing RTL using Verilog, System Verilog, or VHDL. Knowledge of Physical Implementation flow from RTL through Synthesis, Place & Route to STA. "Nice To Have" Skills and Experience : A background in development based on Arm processor based SoC system designs. A Bachelor's (BS), Master's (MS/MSc), or equivalent degree in Electronics, Electrical, or Computer Engineering. Candidates with other degrees will be considered if they have relevant work experience. Development or analysis of CPU or Graphics benchmarks for PPA analysis. Experience using tools for power analysis, power delivery and signoff. (e.g. PowerPro, PrimePower, Redhawk, etc) Background in running simulation/emulation tools. (e.g. VCS, Questasim, Incisive, Veloce Strato, Palladium, Zebu, etc) Good understanding of the concepts and tools related to synthesis, place & route, clock tree synthesis, constraint development, timing closure. (e.g. Innovus, Tempus, etc) In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Date Posted: 2024-04-26 Country: United States of America Location: AZ805: RMS AP Bldg East Hermans Road Building 805, Tucson, AZ, 85756 USA Position Role Type: Unspecified About Us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies protecting freedoms and deterring aggression. We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat. Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary : The HACM Program at Raytheon Missile Systems has an opportunity for a Modeling and Simulation Team Lead . This individual will lead the Simulation & Performance Team, work with program management, and support simulation activities including Simulation Development, Maintenance & Updates, Validation & Verification, Simulation Trade Studies, Integration Testing, and Data Analysis. This opportunity will provide challenges in many technical areas. The program consists of several high-performing teams working with a diverse customer base in a dynamic environment. This is an onsite role based in Tucson, AZ. Responsibilities to Anticipate : Oversee a small, dedicated team Work with program management, and support simulation activities including Simulation Maintenance & Updates, Validation & Verification, Simulation Trade Studies, Integration Testing, and Data Analysis Provide technical and schedule direction for the simulation products across multiple programs Provide technical expertise for the simulation products across common development programs Basic Qualifications : Typically requires a Bachelor's degree in STEM and eight (8) years or more of prior experience in the areas below Experience leading Modeling, Simulation, and Analysis activities Experience with Verification & Validation methods & activities Experience with C/C++ Experience with Earned Value Management (EVM) and EVM Certification Experience using Microsoft Office (including Excel, Word, Project) Two years' experience on a proprietary program Active and transferable U.S. government issued security clearance is required prior to start date and be willing to take a counter-intelligence polygraph examination. U.S. citizenship is required as only U.S. citizens are eligible for a security clearance. Preferred Qualifications : MissileKit Experience genRF and/or general RF Pre-test predictions and post-test matching for ground and flight tests Model V&V or simulation accreditation. Experience with Scaled Agile Framework (SAFe) or other Enterprise level Agile development frameworks. Simulation requirements development, decomposition, and verification Experience with other simulation products other than "high-fidelity" 6DOF development. E.g. Mission Planning simulations, faster than real-time simulations, Operator-in-the-loop simulations. CIL/HWIL integration and development. HPC utilization and throughput assessments CAM certified, MPS/IMS development Bayesian analysis methods Proposal Experience and/or authoring Basis of Estimates (BOE)s What We Offer : Whether you are just starting out on your career journey, or are an experienced professional, we offer a robust total rewards package including compensation, healthcare, wellness, retirement, work/life benefits, career development and recognition programs. Some of the best benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 96,000 USD - 200,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
04/29/2024
Full time
Date Posted: 2024-04-26 Country: United States of America Location: AZ805: RMS AP Bldg East Hermans Road Building 805, Tucson, AZ, 85756 USA Position Role Type: Unspecified About Us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies protecting freedoms and deterring aggression. We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat. Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary : The HACM Program at Raytheon Missile Systems has an opportunity for a Modeling and Simulation Team Lead . This individual will lead the Simulation & Performance Team, work with program management, and support simulation activities including Simulation Development, Maintenance & Updates, Validation & Verification, Simulation Trade Studies, Integration Testing, and Data Analysis. This opportunity will provide challenges in many technical areas. The program consists of several high-performing teams working with a diverse customer base in a dynamic environment. This is an onsite role based in Tucson, AZ. Responsibilities to Anticipate : Oversee a small, dedicated team Work with program management, and support simulation activities including Simulation Maintenance & Updates, Validation & Verification, Simulation Trade Studies, Integration Testing, and Data Analysis Provide technical and schedule direction for the simulation products across multiple programs Provide technical expertise for the simulation products across common development programs Basic Qualifications : Typically requires a Bachelor's degree in STEM and eight (8) years or more of prior experience in the areas below Experience leading Modeling, Simulation, and Analysis activities Experience with Verification & Validation methods & activities Experience with C/C++ Experience with Earned Value Management (EVM) and EVM Certification Experience using Microsoft Office (including Excel, Word, Project) Two years' experience on a proprietary program Active and transferable U.S. government issued security clearance is required prior to start date and be willing to take a counter-intelligence polygraph examination. U.S. citizenship is required as only U.S. citizens are eligible for a security clearance. Preferred Qualifications : MissileKit Experience genRF and/or general RF Pre-test predictions and post-test matching for ground and flight tests Model V&V or simulation accreditation. Experience with Scaled Agile Framework (SAFe) or other Enterprise level Agile development frameworks. Simulation requirements development, decomposition, and verification Experience with other simulation products other than "high-fidelity" 6DOF development. E.g. Mission Planning simulations, faster than real-time simulations, Operator-in-the-loop simulations. CIL/HWIL integration and development. HPC utilization and throughput assessments CAM certified, MPS/IMS development Bayesian analysis methods Proposal Experience and/or authoring Basis of Estimates (BOE)s What We Offer : Whether you are just starting out on your career journey, or are an experienced professional, we offer a robust total rewards package including compensation, healthcare, wellness, retirement, work/life benefits, career development and recognition programs. Some of the best benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 96,000 USD - 200,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
Date Posted: 2024-04-23 Country: United States of America Location: AZ805: RMS AP Bldg East Hermans Road Building 805, Tucson, AZ, 85756 USA Position Role Type: Onsite About Us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies defend freedoms and deter aggression . We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat . Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary: Raytheon engineers develop the next generation of defense technologies that support our military and secure our nation and allies. We are the world's leader in developing the latest defense technologies through simulation-inspired virtual environments. Our software products empower the next generation of creative weapons systems and advanced AI/ML results. We're looking for engineers who enjoy solving complex problems, engaging in a dynamic team environment, and are eager to learn. Do you aspire to deliver the future of physically accurate virtual realities? Do you want to enable the future of advanced defense products and facilitate modern software acceleration techniques in the DoD industry? As a Principal RF Scene and Sensor Modeling Engineer, you will work on a close-knit team to create advanced, physics-based modeling results in the DoD Space. You will develop key technologies in the RF domain to help engineers understand the most complex aspects of radar signal generation, propagation, & scattering, enabling the design and test of tomorrow's artificial intelligence algorithms and hardware solutions. You will deploy cutting-edge technologies, leveraging your software development skills and work with your Signal and Sensor Modeling peers. This will be an on-site role in Tucson, Arizona Responsibilities to Anticipate: You will champion novel results for advanced modeling techniques You will d evelop new C++ models in an extensible and maintainable fashion You will d eploy RF phenomenology and advanced physics principles in a software implementation You will e nable our customer ' s success through adoption and utilization of modeling solutions You will w ork efficiently inside an establish software product , across programming languages , and build environments You will r esearch and develop novel RF modeling solutions You will c oordinate with multiple development teams to prioritize and solve complex software and physics problems You will mentor and share RF expertise with your team Basic Qualifications: Typically requires a Bachelor's degree in Engineering , Science, Physics, Mathematics, or a related STEM degree and eight (8) years or more of documented work and/or academic experience in the following areas: Programming in C/C++ Application of object-oriented software design Mathematical analysis with tools such as MATLAB or Python Experience with UNIX/Linux command line Experience executing simulation Verification & Validation Experience with software change management tools and processes Experience using and augmenting Build Systems (Make/ CMake ) Experience working with large production software environment Experience w orking with other engineering disciplines (Signal Processing, Systems Engineering, Hardware Engineering) Experience in radar processing and its application to engineering problems Experience with RF hardware, radar physics, or signal processing algorithms Experience a pply ing software acceleration techniques (multi-process, GPU, Embedded, etc . ) Active and transferable U.S. government issued security clearance is required prior to start date . U.S. citizenship is required as only U.S. citizens are eligible for a security clearance Preferred Qualifications: Advanced Degree in Electrical Engineering, Computer Engineering, Mechanical Engineering, Software Engineering, Aerospace Engineering, Physics, or Math Electronic warfare modeling RF Scene Generation RF Hardware Design and Test Experience with OpenGL, CUDA, or other GPU accelerated languages Experience with Real-time Programming Experience using GPU architectures Course work or experience in signal & image processing, digital communications, or estimation theory Experience with AI/ML Experience with training techniques Experience developing signal processing algorithms for missile applications Current Department of Defense Clearance Experience with other Scene generation / Sensor Modeling technologies Linux system administration What We Offer: Whether you are just starting out on your career journey, or are an experienced professional, we offer a robust total rewards package including compensation, healthcare, wellness, retirement, work/life benefits, career development and recognition programs. Some of the best benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance , and child/adult backup care. The salary range for this role is 96,000 USD - 200,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
04/29/2024
Full time
Date Posted: 2024-04-23 Country: United States of America Location: AZ805: RMS AP Bldg East Hermans Road Building 805, Tucson, AZ, 85756 USA Position Role Type: Onsite About Us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies defend freedoms and deter aggression . We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat . Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary: Raytheon engineers develop the next generation of defense technologies that support our military and secure our nation and allies. We are the world's leader in developing the latest defense technologies through simulation-inspired virtual environments. Our software products empower the next generation of creative weapons systems and advanced AI/ML results. We're looking for engineers who enjoy solving complex problems, engaging in a dynamic team environment, and are eager to learn. Do you aspire to deliver the future of physically accurate virtual realities? Do you want to enable the future of advanced defense products and facilitate modern software acceleration techniques in the DoD industry? As a Principal RF Scene and Sensor Modeling Engineer, you will work on a close-knit team to create advanced, physics-based modeling results in the DoD Space. You will develop key technologies in the RF domain to help engineers understand the most complex aspects of radar signal generation, propagation, & scattering, enabling the design and test of tomorrow's artificial intelligence algorithms and hardware solutions. You will deploy cutting-edge technologies, leveraging your software development skills and work with your Signal and Sensor Modeling peers. This will be an on-site role in Tucson, Arizona Responsibilities to Anticipate: You will champion novel results for advanced modeling techniques You will d evelop new C++ models in an extensible and maintainable fashion You will d eploy RF phenomenology and advanced physics principles in a software implementation You will e nable our customer ' s success through adoption and utilization of modeling solutions You will w ork efficiently inside an establish software product , across programming languages , and build environments You will r esearch and develop novel RF modeling solutions You will c oordinate with multiple development teams to prioritize and solve complex software and physics problems You will mentor and share RF expertise with your team Basic Qualifications: Typically requires a Bachelor's degree in Engineering , Science, Physics, Mathematics, or a related STEM degree and eight (8) years or more of documented work and/or academic experience in the following areas: Programming in C/C++ Application of object-oriented software design Mathematical analysis with tools such as MATLAB or Python Experience with UNIX/Linux command line Experience executing simulation Verification & Validation Experience with software change management tools and processes Experience using and augmenting Build Systems (Make/ CMake ) Experience working with large production software environment Experience w orking with other engineering disciplines (Signal Processing, Systems Engineering, Hardware Engineering) Experience in radar processing and its application to engineering problems Experience with RF hardware, radar physics, or signal processing algorithms Experience a pply ing software acceleration techniques (multi-process, GPU, Embedded, etc . ) Active and transferable U.S. government issued security clearance is required prior to start date . U.S. citizenship is required as only U.S. citizens are eligible for a security clearance Preferred Qualifications: Advanced Degree in Electrical Engineering, Computer Engineering, Mechanical Engineering, Software Engineering, Aerospace Engineering, Physics, or Math Electronic warfare modeling RF Scene Generation RF Hardware Design and Test Experience with OpenGL, CUDA, or other GPU accelerated languages Experience with Real-time Programming Experience using GPU architectures Course work or experience in signal & image processing, digital communications, or estimation theory Experience with AI/ML Experience with training techniques Experience developing signal processing algorithms for missile applications Current Department of Defense Clearance Experience with other Scene generation / Sensor Modeling technologies Linux system administration What We Offer: Whether you are just starting out on your career journey, or are an experienced professional, we offer a robust total rewards package including compensation, healthcare, wellness, retirement, work/life benefits, career development and recognition programs. Some of the best benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance , and child/adult backup care. The salary range for this role is 96,000 USD - 200,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
Date Posted: 2024-02-29 Country: United States of America Location: AZ852: RMS AP Bldg M East Hermans Road Building M02, Tucson, AZ, 85756 USA Position Role Type: Hybrid HIE Sr. Mgr - HIE Section Leader (M5) Location: AZ - Tucson Business: Raytheon About us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies defend freedoms and deter aggression. We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat. Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary: We are seeking a motivated Engineering Section Leader with experience in Harness and Interconnect or Electronics Packaging development for our Tucson, AZ facility. The Section Leader provides technical and programmatic oversight of assigned HIE development programs (including: mechanical outlines and construction, form and fit, electrical layout for structural and thermal consideration, connector selection, interfaces within the electronics package and next higher assembly, DFMA principles, the technical data package and functional verification). In addition to program assignments, the Section Leader will work with the Department Manager and rest of the leadership team in the execution of PCAS and Raytheon Engineering goals. Expect as a section lead, to supervise a team of up to 15 diverse individuals working closely with section members on their performance and career development goals. The manager will also be responsible for managing, maintaining and enforcing section skills and capabilities, as well as ensuring programs are adequately staffed. Management duties include performance development and appraisal, salary planning, manpower planning, career coaching, and ensuring adherence to company policies. Candidates will contribute to the technical oversight of employees, monitor program execution, and provide technical expertise in direct support of program efforts and proposal activities. The candidate will ensure two-way communication for section members; serve as the day-to-day liaison to the programs concerning employee job assignments, performance impact and recognition. Additional Responsibilities to Anticipate: Serving as the day-to-day liaison between section members and the programs they support across all program phases Creatively applying mechanical engineering knowledge to efficiently solve complex design problems and make sound and rationale data oriented technical conclusions. Qualities You Must Have: Education: B.S in Aerospace Engineering, Mechanical Engineering, Physics, Math, or related science degree Typically, Ten (10) or more years of overall engineering experience in systems, electrical or mechanical design, preferably in the development of Harness and Interconnect or Electronics Packaging in all development phases. The ability to obtain and maintain a US Secret security clearance. U.S. citizenship is required as only U.S. citizens are eligible for a security clearance Qualities We Value: Education: MS in Aerospace Engineering, Mechanical Engineering, Physics, Math, or related science degree Prior leadership roles (frontline or project leader) Ability to build a collaborative network quickly that focuses on empowering employees, leading and motivating teams, and fostering collaboration Experience in being an advocate for process improvement (eg CMMI, R6S), a team builder, and able to build strong relationships and effectively partner with internal resources. Familiarity with Performance Development Processes Proven ability to manage multiple and competing prioritie s Experience with technical and cost proposal development, technical risk management, and managing to cost and schedule requirements for assigned tasks Ability to multi-task and successfully manage multiple activities at any given time Strong presentation and technical writing skills combined with knowledge of Microsoft Office Tools. What We Offer: Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits on offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 118,000 USD - 246,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
04/29/2024
Full time
Date Posted: 2024-02-29 Country: United States of America Location: AZ852: RMS AP Bldg M East Hermans Road Building M02, Tucson, AZ, 85756 USA Position Role Type: Hybrid HIE Sr. Mgr - HIE Section Leader (M5) Location: AZ - Tucson Business: Raytheon About us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies defend freedoms and deter aggression. We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat. Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary: We are seeking a motivated Engineering Section Leader with experience in Harness and Interconnect or Electronics Packaging development for our Tucson, AZ facility. The Section Leader provides technical and programmatic oversight of assigned HIE development programs (including: mechanical outlines and construction, form and fit, electrical layout for structural and thermal consideration, connector selection, interfaces within the electronics package and next higher assembly, DFMA principles, the technical data package and functional verification). In addition to program assignments, the Section Leader will work with the Department Manager and rest of the leadership team in the execution of PCAS and Raytheon Engineering goals. Expect as a section lead, to supervise a team of up to 15 diverse individuals working closely with section members on their performance and career development goals. The manager will also be responsible for managing, maintaining and enforcing section skills and capabilities, as well as ensuring programs are adequately staffed. Management duties include performance development and appraisal, salary planning, manpower planning, career coaching, and ensuring adherence to company policies. Candidates will contribute to the technical oversight of employees, monitor program execution, and provide technical expertise in direct support of program efforts and proposal activities. The candidate will ensure two-way communication for section members; serve as the day-to-day liaison to the programs concerning employee job assignments, performance impact and recognition. Additional Responsibilities to Anticipate: Serving as the day-to-day liaison between section members and the programs they support across all program phases Creatively applying mechanical engineering knowledge to efficiently solve complex design problems and make sound and rationale data oriented technical conclusions. Qualities You Must Have: Education: B.S in Aerospace Engineering, Mechanical Engineering, Physics, Math, or related science degree Typically, Ten (10) or more years of overall engineering experience in systems, electrical or mechanical design, preferably in the development of Harness and Interconnect or Electronics Packaging in all development phases. The ability to obtain and maintain a US Secret security clearance. U.S. citizenship is required as only U.S. citizens are eligible for a security clearance Qualities We Value: Education: MS in Aerospace Engineering, Mechanical Engineering, Physics, Math, or related science degree Prior leadership roles (frontline or project leader) Ability to build a collaborative network quickly that focuses on empowering employees, leading and motivating teams, and fostering collaboration Experience in being an advocate for process improvement (eg CMMI, R6S), a team builder, and able to build strong relationships and effectively partner with internal resources. Familiarity with Performance Development Processes Proven ability to manage multiple and competing prioritie s Experience with technical and cost proposal development, technical risk management, and managing to cost and schedule requirements for assigned tasks Ability to multi-task and successfully manage multiple activities at any given time Strong presentation and technical writing skills combined with knowledge of Microsoft Office Tools. What We Offer: Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits on offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 118,000 USD - 246,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
Date Posted: 2024-02-26 Country: United States of America Location: AZ800: RMS AP Bldg East Hermans Road Building 800, Tucson, AZ, 85756 USA Position Role Type: Onsite About Us: Raytheon combines our vast resources and investments and is dedicated to solving mission-level vs. product-level customer challenges - together we can anticipate more, move faster, and make a bigger impact on the big picture. This position is 100% on site in Tucson, Arizona Tucson has a friendly, caring, and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round . Job Summary: The Test Equipment Engineering (TEE) team within the Specialty Engineering Directorate is a multi-discipline organization responsible for developing test solutions for all Raytheon products. We are hands-on, designing with the latest technology and tools, and integrate state of the art test solutions with the most advanced engineering systems in the world. TEE products are in engineering labs, in the field, and in production environments utilized for weapon system product verification, qualification, test data analysis, and production test solution development. TEE has job opportunities across all phases of the engineering lifecycle, including demonstrations, early engineering tests, design verification tests, production, and sustainment. This position is focused on the development, integration, test, and maintenance of software as a part of on-site factory support of test systems to support product testing. The selected candidate will develop applications, libraries, and instrument drivers using the software style, development language, and integrated development environment specified by the organization. The selected candidate will contribute to multidiscipline engineering teams. Typical work involves the development of both engineering and production test equipment, environments, and systems. As such, the candidate will contribute to the completion of all stages of test product development for RMD test products. They will be expected to act, either as a part of their team or independently, to uncover and resolve issues associated with the development and implementation of test systems. We value diverse skills and experience, so don't hold back. Your skills could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate: Develop and integrate object-oriented software applications using C#, C++ in Microsoft Visual Studio in the Windows Operating System (OS) Prioritize and handle multiple tasks in a production environment Troubleshooting software and test equipment Work in an engineering team environment Develop requirements for software products Written and interpersonal communication skills with Program Management Basic Qualifications : Typically requires a Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) and five (5) years of prior relevant experience OR an advance degree with three (3) years of prior relevant experience. Minimum of five (5) years developing and integrating software applications using programming languages including but not limited to C++, C#, Java, Python or Visual Basic. Minimum of five (5) years of experience utilizing integrated development environments and debugging tools to troubleshoot software implementation. Experience with Windows Operating System and/or Microsoft Visual Studio. The ability to obtain and maintain a U.S. government issued security clearance is required. U.S. citizenship is required, as only U.S. citizens are eligible for a security clearance. Qualifications We Value: Knowledge of computer architecture and computer hardware optimization techniques Knowledge of the development of software drivers for the operation of computer hardware interfaces Knowledge in common computer hardware interface and data protocols such as TCP/IP, UDP, RS-422 Familiarity with laboratory test instrumentation, electronics, and software (Ex. NI VISA, NI Measurement and Automation Explorer, NI Switch Executive) Knowledge of the Unified Modeling Language (UML) to visualize software architecture and design (Ex. IBM Rhapsody, Cameo System Modeler, and Cameo Enterprise Architecture) Familiarity of software development lifecycles, such as Agile Familiarity of Software Configuration Management principles Familiarity with Microsoft Azure DevOps Server What We Offer : Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 77,000 USD - 163,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
04/29/2024
Full time
Date Posted: 2024-02-26 Country: United States of America Location: AZ800: RMS AP Bldg East Hermans Road Building 800, Tucson, AZ, 85756 USA Position Role Type: Onsite About Us: Raytheon combines our vast resources and investments and is dedicated to solving mission-level vs. product-level customer challenges - together we can anticipate more, move faster, and make a bigger impact on the big picture. This position is 100% on site in Tucson, Arizona Tucson has a friendly, caring, and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round . Job Summary: The Test Equipment Engineering (TEE) team within the Specialty Engineering Directorate is a multi-discipline organization responsible for developing test solutions for all Raytheon products. We are hands-on, designing with the latest technology and tools, and integrate state of the art test solutions with the most advanced engineering systems in the world. TEE products are in engineering labs, in the field, and in production environments utilized for weapon system product verification, qualification, test data analysis, and production test solution development. TEE has job opportunities across all phases of the engineering lifecycle, including demonstrations, early engineering tests, design verification tests, production, and sustainment. This position is focused on the development, integration, test, and maintenance of software as a part of on-site factory support of test systems to support product testing. The selected candidate will develop applications, libraries, and instrument drivers using the software style, development language, and integrated development environment specified by the organization. The selected candidate will contribute to multidiscipline engineering teams. Typical work involves the development of both engineering and production test equipment, environments, and systems. As such, the candidate will contribute to the completion of all stages of test product development for RMD test products. They will be expected to act, either as a part of their team or independently, to uncover and resolve issues associated with the development and implementation of test systems. We value diverse skills and experience, so don't hold back. Your skills could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate: Develop and integrate object-oriented software applications using C#, C++ in Microsoft Visual Studio in the Windows Operating System (OS) Prioritize and handle multiple tasks in a production environment Troubleshooting software and test equipment Work in an engineering team environment Develop requirements for software products Written and interpersonal communication skills with Program Management Basic Qualifications : Typically requires a Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) and five (5) years of prior relevant experience OR an advance degree with three (3) years of prior relevant experience. Minimum of five (5) years developing and integrating software applications using programming languages including but not limited to C++, C#, Java, Python or Visual Basic. Minimum of five (5) years of experience utilizing integrated development environments and debugging tools to troubleshoot software implementation. Experience with Windows Operating System and/or Microsoft Visual Studio. The ability to obtain and maintain a U.S. government issued security clearance is required. U.S. citizenship is required, as only U.S. citizens are eligible for a security clearance. Qualifications We Value: Knowledge of computer architecture and computer hardware optimization techniques Knowledge of the development of software drivers for the operation of computer hardware interfaces Knowledge in common computer hardware interface and data protocols such as TCP/IP, UDP, RS-422 Familiarity with laboratory test instrumentation, electronics, and software (Ex. NI VISA, NI Measurement and Automation Explorer, NI Switch Executive) Knowledge of the Unified Modeling Language (UML) to visualize software architecture and design (Ex. IBM Rhapsody, Cameo System Modeler, and Cameo Enterprise Architecture) Familiarity of software development lifecycles, such as Agile Familiarity of Software Configuration Management principles Familiarity with Microsoft Azure DevOps Server What We Offer : Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 77,000 USD - 163,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
Date Posted: 2023-03-28 Country: United States of America Location: AZ201: RMS AP Bldg East Hermans Road Building 801, Tucson, AZ, 85756 USA Position Role Type: Hybrid About Us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies defend freedoms and deter aggression. We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat. Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary: The Electro-Optics (EO) Department at Raytheon is seeking an Optical-Mechanical Design & Analysis Engineering Tech Fellow that is responsible for designing advanced optical sensors and seeker systems in support of new product development. The candidate will lead teams in defining optical-mechanical design solutions, being responsible for performance and cost and supporting these products through their development, implementation, verification, and maintenance. Additionally, they will be responsible for shaping the optical seeker development strategy, including road maps for Product Lines and new opto-mechanical related technologies. The candidate shall demonstrate expertise in all of the subsystems that are necessary for developing an optical sensor and seeker including experience in how these systems interact with the overall guidance unit. This includes expertise in structural design/analysis, system survivability in extreme environments, gimbal and mechanism design, bearing design/analysis, gimbal and mechanism dynamics, optical mounting and alignment concepts and system performance modeling. The selected candidate will be required to effectively interact with engineers across multiple disciplines including systems, EO subsystems, optics, opto-mechanics, detectors, cryogenics, Guidance Navigation and control (GNC), and EO system test and verification. They will be expected to effectively present their work in program and Business Unit reviews and to provide expert counseling and mentoring. They will lead teams and provide technical oversight and task delegation as appropriate. The customers of this position will include internal Raytheon personnel and programs, as well as external Government(s) personnel and programs. The chosen candidate will work with the customer and engineering leadership to define design requirements to validate and to verify that hardware being designed is compliant with requirements. As a Fellow, it is expected that the candidate work across Product Lines and Business Units as dictated by the critical needs of the Enterprise. Additionally, the Fellow will seek out and establish apprenticeships to enable a teaching culture at Raytheon. In this position, they must have exhibited a proven track record with national recognition of an electro-optical seeker architecture mindset in developing sensor products and working across a product's life cycle. The Fellow will encompass a history of design innovation validated by patents and technical papers, serving as the expert through integration and qualification of electro-optical seekers, and leading Failure Investigation Teams in resolution to complex production sustainment issues and / or sensor anomalies. We value diverse skills and experience, so don't hold back. Your qualification could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate : Lead selection of optical-mechanical seeker design solutions for Raytheon EO products with considerations for its role in the system, all phases of engineering and production, and the product life cycle Lead trade studies on various seeker systems for instance: gimbaled vs fixed post, survivability in extreme environments such as high speed and high G, and structurally induced line of sight and image smear effects Support electro-optical modeling and simulation activities Lead development of requirements in support of optical-mechanical design, environmental survivability and the requirement flow to components Drive the development, implementation, verification and maintenance of optical sensor / seeker designs in close collaboration with other disciplines to provide a mature optimized missile product Identify strategic optical engineering development opportunities Propose and lead independent research and development (IRAD) projects for the advancement of optical-mechanical sensor / seeker engineering solutions Ensure balance of risk, performance, and cost Be accountable to leadership for technical decisions Participate in major technical review boards Communicate and collaborate with Program Management and Technical Leadership, Engineering Leadership, Manufacturing, Suppliers, and Customers Guide, apprentice, mentor, and train colleagues within the optical-mechanical design area Qualifications You Must Have : Degree in Science, Technology, Engineering or Mathematics (STEM) Minimum 12 years' of prior engineering experience OR an Advanced degree and 10 years' of prior engineering experience Minimum 12 years' of optical-mechanical design, analysis and/or manufacturing experience on ultra-violet (0.3 um) through Long-Wave Infrared (14 um) optical systems and their associated mechanical subsystems Experience with CREO, ANSYS, and/or equivalent mechanical design tools Experience leading optical-mechanical design teams including, but not limited to: optics, gimbals, detector/camera systems, thermal and dynamics management, structural analysis, system modeling, requirements derivation, GNC impact, etc. Experience leading Optical Sensor / Seeker design trade studies and engineering efforts involving engineers across multiple disciplines Patents, Papers and/or Publications in related technical field The ability to obtain and maintain a U.S. government issued security clearance is required. U.S. citizenship is required, as only U.S. citizens are eligible for a security clearance. Qualifications We Value : MS or PhD in Mechanical Engineering or other related engineering field Demonstrated ability to write successful technical proposal volumes Demonstrated ability to develop a business strategic vision and execute changes Demonstrated ability to successfully lead teams Demonstrated ability to influence others to achieve technical and programmatic goals Demonstrated proactive problem solving experience Expert in Optical Sensor / Seeker System design and implementation Expert in performance and survivability design and analysis of sensor/seeker hardware in extreme environments. Experience in leadership and or senior engineering roles Demonstrated written and verbal communication ability to leadership Experience within the aerospace industry Active DoD issued Top Secret security clearance What We Offer: Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance and child/adult backup care. Location Information : This position is located in beautiful Tucson, AZ. Tucson has a friendly, caring and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round. Clearance Information: This position requires the eligibility to obtain a security clearance. The Defense Industrial Security Clearance Office (DISCO), an agency of the Department of Defense, handles and adjudicates the security clearance process. More information about Security Clearances can be found on the US Department of State government website here: Employee Referral Award Eligibility: This requisition is eligible for an employee referral award. ALL eligibility requirements must be met to receive the referral award. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
04/29/2024
Full time
Date Posted: 2023-03-28 Country: United States of America Location: AZ201: RMS AP Bldg East Hermans Road Building 801, Tucson, AZ, 85756 USA Position Role Type: Hybrid About Us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies defend freedoms and deter aggression. We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat. Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary: The Electro-Optics (EO) Department at Raytheon is seeking an Optical-Mechanical Design & Analysis Engineering Tech Fellow that is responsible for designing advanced optical sensors and seeker systems in support of new product development. The candidate will lead teams in defining optical-mechanical design solutions, being responsible for performance and cost and supporting these products through their development, implementation, verification, and maintenance. Additionally, they will be responsible for shaping the optical seeker development strategy, including road maps for Product Lines and new opto-mechanical related technologies. The candidate shall demonstrate expertise in all of the subsystems that are necessary for developing an optical sensor and seeker including experience in how these systems interact with the overall guidance unit. This includes expertise in structural design/analysis, system survivability in extreme environments, gimbal and mechanism design, bearing design/analysis, gimbal and mechanism dynamics, optical mounting and alignment concepts and system performance modeling. The selected candidate will be required to effectively interact with engineers across multiple disciplines including systems, EO subsystems, optics, opto-mechanics, detectors, cryogenics, Guidance Navigation and control (GNC), and EO system test and verification. They will be expected to effectively present their work in program and Business Unit reviews and to provide expert counseling and mentoring. They will lead teams and provide technical oversight and task delegation as appropriate. The customers of this position will include internal Raytheon personnel and programs, as well as external Government(s) personnel and programs. The chosen candidate will work with the customer and engineering leadership to define design requirements to validate and to verify that hardware being designed is compliant with requirements. As a Fellow, it is expected that the candidate work across Product Lines and Business Units as dictated by the critical needs of the Enterprise. Additionally, the Fellow will seek out and establish apprenticeships to enable a teaching culture at Raytheon. In this position, they must have exhibited a proven track record with national recognition of an electro-optical seeker architecture mindset in developing sensor products and working across a product's life cycle. The Fellow will encompass a history of design innovation validated by patents and technical papers, serving as the expert through integration and qualification of electro-optical seekers, and leading Failure Investigation Teams in resolution to complex production sustainment issues and / or sensor anomalies. We value diverse skills and experience, so don't hold back. Your qualification could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate : Lead selection of optical-mechanical seeker design solutions for Raytheon EO products with considerations for its role in the system, all phases of engineering and production, and the product life cycle Lead trade studies on various seeker systems for instance: gimbaled vs fixed post, survivability in extreme environments such as high speed and high G, and structurally induced line of sight and image smear effects Support electro-optical modeling and simulation activities Lead development of requirements in support of optical-mechanical design, environmental survivability and the requirement flow to components Drive the development, implementation, verification and maintenance of optical sensor / seeker designs in close collaboration with other disciplines to provide a mature optimized missile product Identify strategic optical engineering development opportunities Propose and lead independent research and development (IRAD) projects for the advancement of optical-mechanical sensor / seeker engineering solutions Ensure balance of risk, performance, and cost Be accountable to leadership for technical decisions Participate in major technical review boards Communicate and collaborate with Program Management and Technical Leadership, Engineering Leadership, Manufacturing, Suppliers, and Customers Guide, apprentice, mentor, and train colleagues within the optical-mechanical design area Qualifications You Must Have : Degree in Science, Technology, Engineering or Mathematics (STEM) Minimum 12 years' of prior engineering experience OR an Advanced degree and 10 years' of prior engineering experience Minimum 12 years' of optical-mechanical design, analysis and/or manufacturing experience on ultra-violet (0.3 um) through Long-Wave Infrared (14 um) optical systems and their associated mechanical subsystems Experience with CREO, ANSYS, and/or equivalent mechanical design tools Experience leading optical-mechanical design teams including, but not limited to: optics, gimbals, detector/camera systems, thermal and dynamics management, structural analysis, system modeling, requirements derivation, GNC impact, etc. Experience leading Optical Sensor / Seeker design trade studies and engineering efforts involving engineers across multiple disciplines Patents, Papers and/or Publications in related technical field The ability to obtain and maintain a U.S. government issued security clearance is required. U.S. citizenship is required, as only U.S. citizens are eligible for a security clearance. Qualifications We Value : MS or PhD in Mechanical Engineering or other related engineering field Demonstrated ability to write successful technical proposal volumes Demonstrated ability to develop a business strategic vision and execute changes Demonstrated ability to successfully lead teams Demonstrated ability to influence others to achieve technical and programmatic goals Demonstrated proactive problem solving experience Expert in Optical Sensor / Seeker System design and implementation Expert in performance and survivability design and analysis of sensor/seeker hardware in extreme environments. Experience in leadership and or senior engineering roles Demonstrated written and verbal communication ability to leadership Experience within the aerospace industry Active DoD issued Top Secret security clearance What We Offer: Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance and child/adult backup care. Location Information : This position is located in beautiful Tucson, AZ. Tucson has a friendly, caring and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round. Clearance Information: This position requires the eligibility to obtain a security clearance. The Defense Industrial Security Clearance Office (DISCO), an agency of the Department of Defense, handles and adjudicates the security clearance process. More information about Security Clearances can be found on the US Department of State government website here: Employee Referral Award Eligibility: This requisition is eligible for an employee referral award. ALL eligibility requirements must be met to receive the referral award. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
Are you ready for a new and exciting challenge? If you are a self-motivated design engineer with expertise in micro-architecture, logic design and PCIe and looking to make a difference in an innovative and inclusive team, you've come to the right place! Responsibilities As a creative design engineer with a knowledge of I/O subsystems and SoCs you will be part of a team integrating PCIe, DDR and other IP for SoCs. You will work with the project team to understand and review the architecture and develop the design specifications. Your key responsibilities will include writing micro-architecture specifications, developing the RTL, fixing bugs, and running various design checks. You will work with the verification team to review test plans and help debug design issues. You will work with the performance analysis team to evaluate and improve I/O performance. You will work with backend implementation team to help with floor planning, writing constraints, and timing closure. You will also contribute to developing and enhancing the design methodologies used by the team. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will balance other opportunities such as working with Project Management on activities, plans, and schedules. Required Skills and Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 7+ years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A thorough understanding and experience of the current design techniques for complex SoC development. Experience developing and integrating subsystems for PCIe, DDR/LPDDR, HBM, UCIe, Ethernet Experience creating design specifications and developing RTL for SoC projects Experience in Static design checks, including CDC, RDC, X-Propagation, Linting Experience with Perl, Python or other scripting language "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading small teams or projects Experience or knowledge in the following areas Synthesis and timing analysis Power management techniques DFT and physical implementation In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
04/29/2024
Full time
Are you ready for a new and exciting challenge? If you are a self-motivated design engineer with expertise in micro-architecture, logic design and PCIe and looking to make a difference in an innovative and inclusive team, you've come to the right place! Responsibilities As a creative design engineer with a knowledge of I/O subsystems and SoCs you will be part of a team integrating PCIe, DDR and other IP for SoCs. You will work with the project team to understand and review the architecture and develop the design specifications. Your key responsibilities will include writing micro-architecture specifications, developing the RTL, fixing bugs, and running various design checks. You will work with the verification team to review test plans and help debug design issues. You will work with the performance analysis team to evaluate and improve I/O performance. You will work with backend implementation team to help with floor planning, writing constraints, and timing closure. You will also contribute to developing and enhancing the design methodologies used by the team. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will balance other opportunities such as working with Project Management on activities, plans, and schedules. Required Skills and Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 7+ years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A thorough understanding and experience of the current design techniques for complex SoC development. Experience developing and integrating subsystems for PCIe, DDR/LPDDR, HBM, UCIe, Ethernet Experience creating design specifications and developing RTL for SoC projects Experience in Static design checks, including CDC, RDC, X-Propagation, Linting Experience with Perl, Python or other scripting language "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading small teams or projects Experience or knowledge in the following areas Synthesis and timing analysis Power management techniques DFT and physical implementation In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
Are you ready for a new and exciting challenge? If you are a self-motivated design engineer with expertise in micro-architecture, logic design and PCIe and looking to make a difference in an innovative and inclusive team, you've come to the right place! Responsibilities As a creative verification engineer with a knowledge of I/O subsystems and SoCs you will be part of a team verifying functional correctness of PCIe, DDR and other I/O in SoC RTL. You will work with the project team to understand and review the architecture and design specifications, and to build a functional verification strategy. Your key responsibilities will include crafting test plans, developing SystemVerilog/Verilog testbenches and tests, and debugging of test failures and issues. You will also contribute to developing and improving the verification methodologies used by the team. In addition, you'll work closely with other teams on the design microarchitecture, verification methodologies, system performance, etc. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will balance other opportunities such as working with Project Management on activities, plans, and schedule as well as guide and support junior members of the team. Required skills & experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering (or similar field) plus 10-15 years of experience working in design or verification of sophisticated compute subsystems or SoCs, you will need: Solid understanding of digital hardware design and Verilog HDL. A detailed understanding and experience of the current verification strategies required for sophisticated SoC development, including software-based techniques Experience verifying subsystems for PCIe, LPDDR, HBM, UCIe, Ethernet Good knowledge of test plan creation and tracking Experience verifying RTL for SoC projects Low-level programming experience including C and Assembler Experience with Perl, Python or other scripting language Nice to have skills & experience: Experience with Arm-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Knowledge of Object-Oriented programming concepts. Experience or knowledge in the following areas Formal verification techniques Clock domain crossing verification Power aware verification Porting peripheral driver software Development and deployment of complex Arm SoCs on multiple Emulation platforms as well as direct hands-on test bench development. In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
04/29/2024
Full time
Are you ready for a new and exciting challenge? If you are a self-motivated design engineer with expertise in micro-architecture, logic design and PCIe and looking to make a difference in an innovative and inclusive team, you've come to the right place! Responsibilities As a creative verification engineer with a knowledge of I/O subsystems and SoCs you will be part of a team verifying functional correctness of PCIe, DDR and other I/O in SoC RTL. You will work with the project team to understand and review the architecture and design specifications, and to build a functional verification strategy. Your key responsibilities will include crafting test plans, developing SystemVerilog/Verilog testbenches and tests, and debugging of test failures and issues. You will also contribute to developing and improving the verification methodologies used by the team. In addition, you'll work closely with other teams on the design microarchitecture, verification methodologies, system performance, etc. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will balance other opportunities such as working with Project Management on activities, plans, and schedule as well as guide and support junior members of the team. Required skills & experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering (or similar field) plus 10-15 years of experience working in design or verification of sophisticated compute subsystems or SoCs, you will need: Solid understanding of digital hardware design and Verilog HDL. A detailed understanding and experience of the current verification strategies required for sophisticated SoC development, including software-based techniques Experience verifying subsystems for PCIe, LPDDR, HBM, UCIe, Ethernet Good knowledge of test plan creation and tracking Experience verifying RTL for SoC projects Low-level programming experience including C and Assembler Experience with Perl, Python or other scripting language Nice to have skills & experience: Experience with Arm-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Knowledge of Object-Oriented programming concepts. Experience or knowledge in the following areas Formal verification techniques Clock domain crossing verification Power aware verification Porting peripheral driver software Development and deployment of complex Arm SoCs on multiple Emulation platforms as well as direct hands-on test bench development. In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
Multiple roles available with leveling based on experience! Job Overview Our Systems Development team designs subsystems for various application segments, using the latest IP products from Arm and other vendors. We are looking for a creative and enthusiastic SoC Design Engineer to join the team and help develop these systems. As a SoC Design Engineer you will contribute to the specification, design and verification of various compute subsystems. You will join a small team of dedicated engineers in Austin, as well as collaborate with multiple other groups inside of Arm to design our subsystem products. Would you love a wider exposure across multiple IP products? Do you want an opportunity to work globally with various internal teams to deliver systems optimized for performance and power? Then we want to speak with you! Responsibilities As a creative design engineer with a knowledge of subsystems and SoCs you will be part of a team integrating IP and developing logic for subsystems. You'll work with the project team to understand and review the subsystem architecture, and develop the design specifications, verification team to review test plans, and help debug design issues as well as the performance analysis team to evaluate and improve subsystem performance. Your key responsibilities will include writing micro-architecture specifications, developing the RTL, fixing bugs and running various design checks. You are going to contribute to developing and enhancing the design methodologies used by the team where they will guide and support other members of the team as needed to enable the successful completion of project activities plus balance other opportunities such as working with Project Management on activities, plans, and schedules Required Skills & Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 5-10 years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A detailed understanding and experience of the current design techniques for complex SoC development. Experience creating design specifications Good knowledge of logic development using Verilog Experience with Perl, Python or other scripting language "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading small teams or projects Experience or knowledge in the following areas Synthesis and timing analysis Static design checks, including CDC, RDC, X-Propagation, Linting Power management techniques PCIe subsystems for large SoCs and server applications In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
04/29/2024
Full time
Multiple roles available with leveling based on experience! Job Overview Our Systems Development team designs subsystems for various application segments, using the latest IP products from Arm and other vendors. We are looking for a creative and enthusiastic SoC Design Engineer to join the team and help develop these systems. As a SoC Design Engineer you will contribute to the specification, design and verification of various compute subsystems. You will join a small team of dedicated engineers in Austin, as well as collaborate with multiple other groups inside of Arm to design our subsystem products. Would you love a wider exposure across multiple IP products? Do you want an opportunity to work globally with various internal teams to deliver systems optimized for performance and power? Then we want to speak with you! Responsibilities As a creative design engineer with a knowledge of subsystems and SoCs you will be part of a team integrating IP and developing logic for subsystems. You'll work with the project team to understand and review the subsystem architecture, and develop the design specifications, verification team to review test plans, and help debug design issues as well as the performance analysis team to evaluate and improve subsystem performance. Your key responsibilities will include writing micro-architecture specifications, developing the RTL, fixing bugs and running various design checks. You are going to contribute to developing and enhancing the design methodologies used by the team where they will guide and support other members of the team as needed to enable the successful completion of project activities plus balance other opportunities such as working with Project Management on activities, plans, and schedules Required Skills & Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 5-10 years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A detailed understanding and experience of the current design techniques for complex SoC development. Experience creating design specifications Good knowledge of logic development using Verilog Experience with Perl, Python or other scripting language "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading small teams or projects Experience or knowledge in the following areas Synthesis and timing analysis Static design checks, including CDC, RDC, X-Propagation, Linting Power management techniques PCIe subsystems for large SoCs and server applications In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
Job Overview: Are you passionate about shaping the future of chip design? In the Solutions Engineering group at Arm, we offer the outstanding opportunity for an experienced Power Analysis Engineer to join our successful team in a dynamic and diverse role! Arm is establishing a team to develop best-in-class silicon platforms based on Arm's IP Compute Subsystem solutions, addressing markets such as premium mobile, infrastructure, and automotive. Arm's ambition is to demonstrate efficient performance by architecting, designing, implementing, and fabricating pioneering silicon test chips using the latest SoC process nodes and packaging technologies. This is an exciting and unique initiative, where we are driving how the next generation of leading compute devices are built across the industry. Join Arm to be part of the solution! Responsibilities: You will join a highly focused group where we analyze and optimize the power of our next generation compute solutions using innovative technologies, methodologies and tools. Analyze the power efficiency of SoC design features from early estimation to final product validation. Developing and running RTL simulator and emulator based workloads to analyze the power of the hardware design. Taking pre-silicon design power measurements throughout the SoC development cycle from early modeling, RTL analysis, to in-depth timing annotated netlist analysis. Analysis engineers collaborate with multiple teams from SoC Architecture, Performance Analysis, Microarchitecture Design, to Physical design to develop and analyze real software use-cases and the physical hardware. Building relevant metrics along with visualization to demonstrate the hardware power signature and capabilities of the compute subsystems. Reviewing the quality and accuracy of data produced by the latest EDA power analysis tool flows. Continuously innovating by improving the power analysis methodologies used by the team. Required Skills and Experience : We are seeking experienced engineers for a multi-disciplinary role in power analysis. Ideal candidates have past experience in power analysis or are motivated engineers with valuable transferable skills from design, implementation, or verification backgrounds. Skilled in performing power modeling or pre-silicon power analysis flows. Experience with low power design features and techniques, including clock and power gating, voltage/frequency scaling, memory/logic retention. Ability to understand and balance trade-offs between power, performance, and area. Familiar with developing RTL using Verilog, System Verilog, or VHDL. Knowledge of Physical Implementation flow from RTL through Synthesis, Place & Route to STA. "Nice To Have" Skills and Experience : A background in development based on Arm processor based SoC system designs. A Bachelor's (BS), Master's (MS/MSc), or equivalent degree in Electronics, Electrical, or Computer Engineering. Candidates with other degrees will be considered if they have relevant work experience. Development or analysis of CPU or Graphics benchmarks for PPA analysis. Experience using tools for power analysis, power delivery and signoff. (e.g. PowerPro, PrimePower, Redhawk, etc) Background in running simulation/emulation tools. (e.g. VCS, Questasim, Incisive, Veloce Strato, Palladium, Zebu, etc) Good understanding of the concepts and tools related to synthesis, place & route, clock tree synthesis, constraint development, timing closure. (e.g. Innovus, Tempus, etc) In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
04/29/2024
Full time
Job Overview: Are you passionate about shaping the future of chip design? In the Solutions Engineering group at Arm, we offer the outstanding opportunity for an experienced Power Analysis Engineer to join our successful team in a dynamic and diverse role! Arm is establishing a team to develop best-in-class silicon platforms based on Arm's IP Compute Subsystem solutions, addressing markets such as premium mobile, infrastructure, and automotive. Arm's ambition is to demonstrate efficient performance by architecting, designing, implementing, and fabricating pioneering silicon test chips using the latest SoC process nodes and packaging technologies. This is an exciting and unique initiative, where we are driving how the next generation of leading compute devices are built across the industry. Join Arm to be part of the solution! Responsibilities: You will join a highly focused group where we analyze and optimize the power of our next generation compute solutions using innovative technologies, methodologies and tools. Analyze the power efficiency of SoC design features from early estimation to final product validation. Developing and running RTL simulator and emulator based workloads to analyze the power of the hardware design. Taking pre-silicon design power measurements throughout the SoC development cycle from early modeling, RTL analysis, to in-depth timing annotated netlist analysis. Analysis engineers collaborate with multiple teams from SoC Architecture, Performance Analysis, Microarchitecture Design, to Physical design to develop and analyze real software use-cases and the physical hardware. Building relevant metrics along with visualization to demonstrate the hardware power signature and capabilities of the compute subsystems. Reviewing the quality and accuracy of data produced by the latest EDA power analysis tool flows. Continuously innovating by improving the power analysis methodologies used by the team. Required Skills and Experience : We are seeking experienced engineers for a multi-disciplinary role in power analysis. Ideal candidates have past experience in power analysis or are motivated engineers with valuable transferable skills from design, implementation, or verification backgrounds. Skilled in performing power modeling or pre-silicon power analysis flows. Experience with low power design features and techniques, including clock and power gating, voltage/frequency scaling, memory/logic retention. Ability to understand and balance trade-offs between power, performance, and area. Familiar with developing RTL using Verilog, System Verilog, or VHDL. Knowledge of Physical Implementation flow from RTL through Synthesis, Place & Route to STA. "Nice To Have" Skills and Experience : A background in development based on Arm processor based SoC system designs. A Bachelor's (BS), Master's (MS/MSc), or equivalent degree in Electronics, Electrical, or Computer Engineering. Candidates with other degrees will be considered if they have relevant work experience. Development or analysis of CPU or Graphics benchmarks for PPA analysis. Experience using tools for power analysis, power delivery and signoff. (e.g. PowerPro, PrimePower, Redhawk, etc) Background in running simulation/emulation tools. (e.g. VCS, Questasim, Incisive, Veloce Strato, Palladium, Zebu, etc) Good understanding of the concepts and tools related to synthesis, place & route, clock tree synthesis, constraint development, timing closure. (e.g. Innovus, Tempus, etc) In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Job Overview Would you love a wider exposure across multiple IP products? Do you want an opportunity to work globally with various internal teams to deliver systems optimized for performance and power? Then we want to speak with you! Our Systems Development team designs subsystems for various application segments, using the latest IP products from Arm and other vendors. We are looking for a creative and enthusiastic SoC Design Lead to join the team and help develop these systems. As a SoC Design Lead you will be responsible for the specification and design of various compute subsystems and SoCs. You will join a small team of dedicated engineers in Austin, as well as collaborate with multiple other groups inside of Arm to design our products. Responsibilities As a creative design engineer with a knowledge of subsystems and SoCs you will lead a team integrating IP and developing logic for infrastructure SoCs. You will work with the project team to understand and review the subsystem architecture, and develop the design specifications. You will also work with the verification team to review test plans, and help debug design issues as well as the performance analysis team to evaluate and improve subsystem performance. Your key responsibilities will include planning the design activities and delivering the SoC RTL to the required quality and timelines. You will contribute to developing and enhancing the design methodologies used by the team. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will work closely with Project Management and other stakeholders to review plans and schedules, and to present project metrics and status. Required Skills & Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 15-20 years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A detailed understanding and experience of the current design techniques for complex SoC development. Experience creating design specifications Good knowledge of logic development using Verilog "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading teams or projects Experience or knowledge in the following areas: Synthesis and timing analysis Static design checks, including CDC, RDC, X-Propagation, Linting Power management techniques PCIe subsystems for large SoCs and server applications In Return: This position offers an incredible opportunity to join a growing, highly influential organization at the center of one of the most exciting markets and to lead from the front as the organization engages in a more sophisticated way. We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
04/29/2024
Full time
Job Overview Would you love a wider exposure across multiple IP products? Do you want an opportunity to work globally with various internal teams to deliver systems optimized for performance and power? Then we want to speak with you! Our Systems Development team designs subsystems for various application segments, using the latest IP products from Arm and other vendors. We are looking for a creative and enthusiastic SoC Design Lead to join the team and help develop these systems. As a SoC Design Lead you will be responsible for the specification and design of various compute subsystems and SoCs. You will join a small team of dedicated engineers in Austin, as well as collaborate with multiple other groups inside of Arm to design our products. Responsibilities As a creative design engineer with a knowledge of subsystems and SoCs you will lead a team integrating IP and developing logic for infrastructure SoCs. You will work with the project team to understand and review the subsystem architecture, and develop the design specifications. You will also work with the verification team to review test plans, and help debug design issues as well as the performance analysis team to evaluate and improve subsystem performance. Your key responsibilities will include planning the design activities and delivering the SoC RTL to the required quality and timelines. You will contribute to developing and enhancing the design methodologies used by the team. You will guide and support other members of the team as needed to enable the successful completion of project activities. You will work closely with Project Management and other stakeholders to review plans and schedules, and to present project metrics and status. Required Skills & Experience: In addition to bringing your accomplishment of either Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering or a similar related field and 15-20 years experience working in design of complex compute subsystems or SoCs, you will need: Strong knowledge of digital hardware design and Verilog HDL. A detailed understanding and experience of the current design techniques for complex SoC development. Experience creating design specifications Good knowledge of logic development using Verilog "Nice to Have" Skills and Experience: Experience with ARM-based designs and/or ARM System Architectures Experience with SystemVerilog and verification methodologies - UVM/OVM/e Experience leading teams or projects Experience or knowledge in the following areas: Synthesis and timing analysis Static design checks, including CDC, RDC, X-Propagation, Linting Power management techniques PCIe subsystems for large SoCs and server applications In Return: This position offers an incredible opportunity to join a growing, highly influential organization at the center of one of the most exciting markets and to lead from the front as the organization engages in a more sophisticated way. We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
Arm System IP enables designers to build Arm AMBA systems that are high performance, power efficient and reliable. Configurable for many different applications, System IP is the right choice for your system whether it is a high-efficiency IoT endpoint or a high-performance server SoC. The collection of silicon proven interconnects, security IP, system controllers, debug and trace and IP tooling are all designed, validated and optimized to be used with Arm Cortex processors and Arm Mali Multimedia IP. Built upon the open AMBA interface standard, Arm System IP provides design teams with the foundation for building better systems. Job Requirements: As an RTL Design Engineer, you would responsible for one or more functional units of the Memory Controller while working closely with performance modeling, validation, and implementation teams to meet all functional requirements and performance, power, area (PPA) goals. Development of high-level specifications and requirements for Memory Controller products Definition of detailed micro-architecture plans Development and implementation of SystemVerilog RTL logic design for sophisticated blocks and functions within the design Collaborate with key partners on the design and verification teams to close on functional and coverage requirements Debug functional and/or performance issues within the RTL using modern simulation and debug tools Must Have Skills & Experience Bachelor's or Master's degree in Computer Science or Electrical/Computer Engineering Prior RTL design experience is required. 5+ years work experience in microprocessor, SoC, memory controller and/or interconnect IP design Knowledge of DRAM specification (e.g., LPDDR4/5, DDR4/5) and of bus protocols (e.g., AMBA5 CHI, AMBA4 ACE or AXI) Experience with Verilog or VHDL, coupled with design synthesis targeted to achieve specified frequency, power, and area targets "Nice to Have" Skills & Experience Prior verification or CAD experience is a plus Experience with CPU or compute subsystem memory micro-architecture Processor system knowledge including basic understanding of SoC systems as well as operating system software In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
04/29/2024
Full time
Arm System IP enables designers to build Arm AMBA systems that are high performance, power efficient and reliable. Configurable for many different applications, System IP is the right choice for your system whether it is a high-efficiency IoT endpoint or a high-performance server SoC. The collection of silicon proven interconnects, security IP, system controllers, debug and trace and IP tooling are all designed, validated and optimized to be used with Arm Cortex processors and Arm Mali Multimedia IP. Built upon the open AMBA interface standard, Arm System IP provides design teams with the foundation for building better systems. Job Requirements: As an RTL Design Engineer, you would responsible for one or more functional units of the Memory Controller while working closely with performance modeling, validation, and implementation teams to meet all functional requirements and performance, power, area (PPA) goals. Development of high-level specifications and requirements for Memory Controller products Definition of detailed micro-architecture plans Development and implementation of SystemVerilog RTL logic design for sophisticated blocks and functions within the design Collaborate with key partners on the design and verification teams to close on functional and coverage requirements Debug functional and/or performance issues within the RTL using modern simulation and debug tools Must Have Skills & Experience Bachelor's or Master's degree in Computer Science or Electrical/Computer Engineering Prior RTL design experience is required. 5+ years work experience in microprocessor, SoC, memory controller and/or interconnect IP design Knowledge of DRAM specification (e.g., LPDDR4/5, DDR4/5) and of bus protocols (e.g., AMBA5 CHI, AMBA4 ACE or AXI) Experience with Verilog or VHDL, coupled with design synthesis targeted to achieve specified frequency, power, and area targets "Nice to Have" Skills & Experience Prior verification or CAD experience is a plus Experience with CPU or compute subsystem memory micro-architecture Processor system knowledge including basic understanding of SoC systems as well as operating system software In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape extraordinary. These behaviors are assessed as part of the recruitment process: Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises We offer a hybrid approach to home and office working to provide an adaptable experience for all employees. We expect some working time to be spent in office, to promote a strong collaborative environment with good team integration but are accommodating to different home working requirements.
Job Description At Boeing, we innovate and collaborate to make the world a better place. From the seabed to outer space, you can contribute to work that matters with a company where diversity, equity and inclusion are shared values. We're committed to fostering an environment for every teammate that's welcoming, respectful and inclusive, with great opportunity for professional growth. Find your future with us. Boeing Commercial Airplanes (BCA) is looking for an Aircraft Cabin Interior Specialists (Level C) to support the Interior Repair Team in Final Assembly & Join Verification of the 787 Program based out of North Charleston, South Carolina on a Variable Shift! Employees in this position will perform decorative interior repair in the aircraft cabin on assemblies made from various types of material including fiberglass, plastic and composites using various methods, including but not limited to painting and sweep and fill. This job is performed at various stages of the aircraft assembly process, in factory and/or flight line locations. Primary Responsibilities: Ability to read and understand engineering drawings and instructions to select correct parts, materials, tools, assemblies, standards, and hardware used to install, fit, fasten, align, adjust and perform the necessary per-installation and installation of interiors. Understanding of proper cleaning methods, surface preparation, cleaning, and masking for rework. Majority of responsibility is for non-baseline work requiring interpretation of established processes and instructions to troubleshoot and develop solutions to complex non-standard work. Work independently with limited direction from lead and manager. Report and work to resolve job constraints including but not limited to errors caused by workmanship, defects in parts, materials, assembly procedures, tools, sequences, etc., in accordance with Boeing procedures. Exhibit exemplary housekeeping and Foreign Object Debris (FOD) standards. Maintain clean, neat, organized and FOD free work area. Possess understanding and knowledge of basic computer systems and programs. Employment in this position also requires rotation of head/neck, bending and twisting at the waist, walking, standing, kneeling, crouching, climbing/balancing, flexion/extension, lifting and carrying less than 10 pounds, contact with liquid coatings, plastics, sealants and solvents, exposure to noise, and the use of personal safety gear for face, eyes, hand/arm, and ears. There will also be an eye examination for color perception and requirement to work in elevated and/or confined spaces in indoor temperature controlled environments as well as outdoor open environments. Ability to correspond with internal teams and external suppliers and customers with dignity, respect and integrity while exhibiting the Boeing Values at all times. This position is expected to be 100% onsite. The selected candidate will be required to work onsite at one of the listed location options. This position must meet export control compliance requirements. To meet export control compliance requirements, a "U.S. Person" as defined by 22 C.F.R. 120.15 is required. "U.S. Person" includes U.S. Citizen, lawful permanent resident, refugee, or asylee. Basic Qualifications (Required Skills/ Experience): Ability to work a variable shift (1st, 2nd, or 3rd). 1+ years of aerospace, fabrication, or manufacturing experience working with decorative finishes. 1+ years of experience specialized in design and/or analysis of aircraft interior modifications. Required technical skills include file, sand, paint, decorative laminate ("declam"), and cosmetic rework (ex. paint color match, surface texture match, etc.). 1+ years of experience utilizing and following detailed work instructions, reading and interpreting blueprints, drawings, or specifications, in English. Preferred Qualifications (Desired Skills/Experience): 3+ years' related work experience or an equivalent combination of education and experience. 3+ years aerospace experience in cabin systems interiors (ex. galleys, lavatories, stowage, first and business class seats, interior liners, etc.) Typical Education/Experience: Education/experience typically acquired through basic education (e.g. High School diploma/GED) and typically 4 or more years' related work experience or an equivalent combination of education and experience (e.g. vocational school/Associate+2 years' related work, Bachelor, etc.). Relocation: This position offers relocation based on candidate eligibility. Drug Free Workplace: Boeing is a Drug Free Workplace where post offer applicants and employees are subject to testing for marijuana, cocaine, opioids, amphetamines, PCP, and alcohol when criteria is met as outlined in our policies. Shift: This position is for variable shift. Variable shift means you will be placed on a shift at the time of hire based on business needs at that time. You will remain on that shift until re-evaluation of the need. Union Representation Statement: This is a nonunion-represented position. Total Rewards: The Boeing Company also provides eligible employees with an opportunity to enroll in a variety of benefit programs, generally including health insurance, flexible spending accounts, health savings accounts, retirement savings plans, life and disability insurance programs, and a number of programs that provide for both paid and unpaid time away from work. The specific programs and options available to any given employee may vary depending on eligibility factors such as geographic location, date of hire, and the applicability of collective bargaining agreements. Pay is based upon candidate experience and qualifications, as well as market and business considerations. Summary pay range: $59,220 - $60,480 When Applying: Please review every aspect of your application carefully prior to submitting to ensure accuracy. Use your most accurate contact information on your application to include your full address. Prior to uploading your resume, please ensure it is in one of the following formats: DOC, DOCX, PDF, or TXT. If your formal education is in the field you are applying for please include that time in your total years' experience. Applications for this position will be accepted through June 30, 2024 Equal Opportunity Employer: Boeing is an Equal Opportunity Employer. Employment decisions are made without regard to race, color, religion, national origin, gender, sexual orientation, gender identity, age, physical or mental disability, genetic factors, military/veteran status or other characteristics protected by law.
04/29/2024
Full time
Job Description At Boeing, we innovate and collaborate to make the world a better place. From the seabed to outer space, you can contribute to work that matters with a company where diversity, equity and inclusion are shared values. We're committed to fostering an environment for every teammate that's welcoming, respectful and inclusive, with great opportunity for professional growth. Find your future with us. Boeing Commercial Airplanes (BCA) is looking for an Aircraft Cabin Interior Specialists (Level C) to support the Interior Repair Team in Final Assembly & Join Verification of the 787 Program based out of North Charleston, South Carolina on a Variable Shift! Employees in this position will perform decorative interior repair in the aircraft cabin on assemblies made from various types of material including fiberglass, plastic and composites using various methods, including but not limited to painting and sweep and fill. This job is performed at various stages of the aircraft assembly process, in factory and/or flight line locations. Primary Responsibilities: Ability to read and understand engineering drawings and instructions to select correct parts, materials, tools, assemblies, standards, and hardware used to install, fit, fasten, align, adjust and perform the necessary per-installation and installation of interiors. Understanding of proper cleaning methods, surface preparation, cleaning, and masking for rework. Majority of responsibility is for non-baseline work requiring interpretation of established processes and instructions to troubleshoot and develop solutions to complex non-standard work. Work independently with limited direction from lead and manager. Report and work to resolve job constraints including but not limited to errors caused by workmanship, defects in parts, materials, assembly procedures, tools, sequences, etc., in accordance with Boeing procedures. Exhibit exemplary housekeeping and Foreign Object Debris (FOD) standards. Maintain clean, neat, organized and FOD free work area. Possess understanding and knowledge of basic computer systems and programs. Employment in this position also requires rotation of head/neck, bending and twisting at the waist, walking, standing, kneeling, crouching, climbing/balancing, flexion/extension, lifting and carrying less than 10 pounds, contact with liquid coatings, plastics, sealants and solvents, exposure to noise, and the use of personal safety gear for face, eyes, hand/arm, and ears. There will also be an eye examination for color perception and requirement to work in elevated and/or confined spaces in indoor temperature controlled environments as well as outdoor open environments. Ability to correspond with internal teams and external suppliers and customers with dignity, respect and integrity while exhibiting the Boeing Values at all times. This position is expected to be 100% onsite. The selected candidate will be required to work onsite at one of the listed location options. This position must meet export control compliance requirements. To meet export control compliance requirements, a "U.S. Person" as defined by 22 C.F.R. 120.15 is required. "U.S. Person" includes U.S. Citizen, lawful permanent resident, refugee, or asylee. Basic Qualifications (Required Skills/ Experience): Ability to work a variable shift (1st, 2nd, or 3rd). 1+ years of aerospace, fabrication, or manufacturing experience working with decorative finishes. 1+ years of experience specialized in design and/or analysis of aircraft interior modifications. Required technical skills include file, sand, paint, decorative laminate ("declam"), and cosmetic rework (ex. paint color match, surface texture match, etc.). 1+ years of experience utilizing and following detailed work instructions, reading and interpreting blueprints, drawings, or specifications, in English. Preferred Qualifications (Desired Skills/Experience): 3+ years' related work experience or an equivalent combination of education and experience. 3+ years aerospace experience in cabin systems interiors (ex. galleys, lavatories, stowage, first and business class seats, interior liners, etc.) Typical Education/Experience: Education/experience typically acquired through basic education (e.g. High School diploma/GED) and typically 4 or more years' related work experience or an equivalent combination of education and experience (e.g. vocational school/Associate+2 years' related work, Bachelor, etc.). Relocation: This position offers relocation based on candidate eligibility. Drug Free Workplace: Boeing is a Drug Free Workplace where post offer applicants and employees are subject to testing for marijuana, cocaine, opioids, amphetamines, PCP, and alcohol when criteria is met as outlined in our policies. Shift: This position is for variable shift. Variable shift means you will be placed on a shift at the time of hire based on business needs at that time. You will remain on that shift until re-evaluation of the need. Union Representation Statement: This is a nonunion-represented position. Total Rewards: The Boeing Company also provides eligible employees with an opportunity to enroll in a variety of benefit programs, generally including health insurance, flexible spending accounts, health savings accounts, retirement savings plans, life and disability insurance programs, and a number of programs that provide for both paid and unpaid time away from work. The specific programs and options available to any given employee may vary depending on eligibility factors such as geographic location, date of hire, and the applicability of collective bargaining agreements. Pay is based upon candidate experience and qualifications, as well as market and business considerations. Summary pay range: $59,220 - $60,480 When Applying: Please review every aspect of your application carefully prior to submitting to ensure accuracy. Use your most accurate contact information on your application to include your full address. Prior to uploading your resume, please ensure it is in one of the following formats: DOC, DOCX, PDF, or TXT. If your formal education is in the field you are applying for please include that time in your total years' experience. Applications for this position will be accepted through June 30, 2024 Equal Opportunity Employer: Boeing is an Equal Opportunity Employer. Employment decisions are made without regard to race, color, religion, national origin, gender, sexual orientation, gender identity, age, physical or mental disability, genetic factors, military/veteran status or other characteristics protected by law.
Job Overview: Arm's CE-Systems DFT team implements DFT for test chips and hard macros to prove out Arm soft IP power, performance, area, and functionality within the context of an SoC using the latest DFT and process technologies. The DFT team works closely with RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE. Responsibilities: Architect, implement, and validate innovative DFT techniques on test chips as well as hard macros. Insert DFT logic into SoC style designs at the RTL level and at the Synthesis gate level, validate all features, and generate ATE targeted test patterns to be run on silicon. Work closely with front-end design and verification teams on DFT RTL level insertion, back-end synthesis, place-and-route, and static-timing-analysis teams on gate level insertion and timing closure, and Test and Debug teams on silicon characterization and validation. Required Skills and Experience : This role is for a Staff DFT Engineer with proven track record in Design for Test Experience coding Verilog RTL, TCL and/or Perl Proficient in Unix/Linux environments Core DFT skills considered for this position should include some of the following: Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, back-annotated gate level verification, silicon debug, memory and scan diagnostics Bachelors or Master's degree in Electronic Engineering, Computer Engineering, or a related field "Nice To Have" Skills and Experience : Familiarity with IEEE 1149, 1500, 1687, 1838 Synthesis & Static Timing Analysis Familiarity with SoC style architectures including multi-clock domain and low power design practices. Validated understanding of Siemens DFT tools Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Experience with 2.5D and 3D test Ability to work both collaboratively on a team and independently Hard-working and excellent time management skills with an ability to multi-task An upbeat demeanor to working on exciting projects on the cutting edge of technology Good analytical and debug skills with a "figure it out" mentality Experience with Siemens, Cadence, and/or Synopsys DFT and simulation tools In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding. Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
04/29/2024
Full time
Job Overview: Arm's CE-Systems DFT team implements DFT for test chips and hard macros to prove out Arm soft IP power, performance, area, and functionality within the context of an SoC using the latest DFT and process technologies. The DFT team works closely with RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE. Responsibilities: Architect, implement, and validate innovative DFT techniques on test chips as well as hard macros. Insert DFT logic into SoC style designs at the RTL level and at the Synthesis gate level, validate all features, and generate ATE targeted test patterns to be run on silicon. Work closely with front-end design and verification teams on DFT RTL level insertion, back-end synthesis, place-and-route, and static-timing-analysis teams on gate level insertion and timing closure, and Test and Debug teams on silicon characterization and validation. Required Skills and Experience : This role is for a Staff DFT Engineer with proven track record in Design for Test Experience coding Verilog RTL, TCL and/or Perl Proficient in Unix/Linux environments Core DFT skills considered for this position should include some of the following: Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, back-annotated gate level verification, silicon debug, memory and scan diagnostics Bachelors or Master's degree in Electronic Engineering, Computer Engineering, or a related field "Nice To Have" Skills and Experience : Familiarity with IEEE 1149, 1500, 1687, 1838 Synthesis & Static Timing Analysis Familiarity with SoC style architectures including multi-clock domain and low power design practices. Validated understanding of Siemens DFT tools Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Experience with 2.5D and 3D test Ability to work both collaboratively on a team and independently Hard-working and excellent time management skills with an ability to multi-task An upbeat demeanor to working on exciting projects on the cutting edge of technology Good analytical and debug skills with a "figure it out" mentality Experience with Siemens, Cadence, and/or Synopsys DFT and simulation tools In Return: At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding. Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Date Posted: 2024-02-05 Country: United States of America Location: AZ848: RMS AP Bldg East Hermans Road Building 848, Tucson, AZ, 85756 USA Position Role Type: Onsite At Raytheo, you have the opportunity to try new things and make a bigger difference across a broader end-to-end solution, a richer technology and product set, an expanded range of disciplines, a growing global footprint and a more diverse team of colleagues and customers. Job Summary: The Effector Digital Products (EDP) department develops electronics for our weapon system products. We engage at the outset of the weapon system development and follow our designs through deployment. Our products are deployed all over the world, from the ground to exoatmospheric environments and into space. We are responsible for the architecture, design, and documentation of deliverable FPGA embedded processing at RMD. In this role you will develop FPGA designs for all major vendors and device families including: Xilinx, Altera, and Microsemi. Designs are implemented using VHDL for the following applications: gigabit serial interfaces, Radio Frequency (RF) and Electro-Optical (EO) DSP, controls, data links, embedded processing and processor interfaces. Designers work with circuit card designers and systems engineers to develop requirements, architect new parts, collaborative modeling of algorithms, partition and perform code development, simulation, and place and route. Designs are verified against requirements using both directed test and constrained random methodologies. Design support is expected from requirements definition through integration and test. Design documentation and configuration management are required. Responsibilities to Anticipate: Deliver production-quality FPGA releases from initial proof of concept to production. Architect FPGA-based systems to determine parts, interfaces, and Concept of Operations (CONOPS) Translate system-level requirements into FPGA requirements. Design and code in VHDL for reliability and maintainability. Verify designs utilizing self-checking techniques with directed and constrained random tests, while tracking functional and code coverage. Help drive projects and execute program schedules on time and budget. Create complete documentation including requirements, verification plans, and user's guides. May manage moderately sized projects or processes Typically viewed as subject-matter expert within discipline Able to operate with minimal oversight from manager Coaches, reviews, and delegates work to junior engineers May support internal and external technical reviews May support customer interactions and relations Able to make improvements to processes, systems or products to enhance overall performance Qualifications You Must Have: Requires advanced to expert knowledge of FPGA design, verification, and integration obtained through advanced education combined with experience. Bachelor of Science in Computer or Electrical Engineering A minimum of 8 years of experience to include the following: FPGA design (VHDL and/or Verilog coding), FPGA verification (SystemVerilog coding) is a plus. Xilinx or Microsemi devices and flow tools Delivering FPGA solutions to system level applications Hands on experience with integration and debug of FPGA devices The ability to obtain and maintain a US security clearance prior to the start date. U.S. citizenship is required as only U.S. citizens are eligible for a security clearance A PhD can account for 4 years of experience as required for this position Qualifications We Value: FPGA design experience in one or more of the following areas: Radar processing techniques Image processing techniques for visual and infrared sensors Embedded systems design using ARM, Microblaze, or Nios processors Gigabit serial interfaces and multi-gigabit transceivers (MGTs) Experience leading technical teams and programs What We Offer: Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance and child/adult backup care. This position is located in beautiful Tucson, AZ. Tucson has a friendly, caring and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round. Additional Details: This position requires the ability to obtain a security clearance. Non-US citizens may not be eligible to obtain a security clearance. The Defense Industrial Security Clearance Office (DISCO), an agency of the Department of Defense, handles and adjudicates the security clearance process. More information about Security Clearances can be found on the US Department of State government website here: The salary range for this role is 96,000 USD - 200,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
04/29/2024
Full time
Date Posted: 2024-02-05 Country: United States of America Location: AZ848: RMS AP Bldg East Hermans Road Building 848, Tucson, AZ, 85756 USA Position Role Type: Onsite At Raytheo, you have the opportunity to try new things and make a bigger difference across a broader end-to-end solution, a richer technology and product set, an expanded range of disciplines, a growing global footprint and a more diverse team of colleagues and customers. Job Summary: The Effector Digital Products (EDP) department develops electronics for our weapon system products. We engage at the outset of the weapon system development and follow our designs through deployment. Our products are deployed all over the world, from the ground to exoatmospheric environments and into space. We are responsible for the architecture, design, and documentation of deliverable FPGA embedded processing at RMD. In this role you will develop FPGA designs for all major vendors and device families including: Xilinx, Altera, and Microsemi. Designs are implemented using VHDL for the following applications: gigabit serial interfaces, Radio Frequency (RF) and Electro-Optical (EO) DSP, controls, data links, embedded processing and processor interfaces. Designers work with circuit card designers and systems engineers to develop requirements, architect new parts, collaborative modeling of algorithms, partition and perform code development, simulation, and place and route. Designs are verified against requirements using both directed test and constrained random methodologies. Design support is expected from requirements definition through integration and test. Design documentation and configuration management are required. Responsibilities to Anticipate: Deliver production-quality FPGA releases from initial proof of concept to production. Architect FPGA-based systems to determine parts, interfaces, and Concept of Operations (CONOPS) Translate system-level requirements into FPGA requirements. Design and code in VHDL for reliability and maintainability. Verify designs utilizing self-checking techniques with directed and constrained random tests, while tracking functional and code coverage. Help drive projects and execute program schedules on time and budget. Create complete documentation including requirements, verification plans, and user's guides. May manage moderately sized projects or processes Typically viewed as subject-matter expert within discipline Able to operate with minimal oversight from manager Coaches, reviews, and delegates work to junior engineers May support internal and external technical reviews May support customer interactions and relations Able to make improvements to processes, systems or products to enhance overall performance Qualifications You Must Have: Requires advanced to expert knowledge of FPGA design, verification, and integration obtained through advanced education combined with experience. Bachelor of Science in Computer or Electrical Engineering A minimum of 8 years of experience to include the following: FPGA design (VHDL and/or Verilog coding), FPGA verification (SystemVerilog coding) is a plus. Xilinx or Microsemi devices and flow tools Delivering FPGA solutions to system level applications Hands on experience with integration and debug of FPGA devices The ability to obtain and maintain a US security clearance prior to the start date. U.S. citizenship is required as only U.S. citizens are eligible for a security clearance A PhD can account for 4 years of experience as required for this position Qualifications We Value: FPGA design experience in one or more of the following areas: Radar processing techniques Image processing techniques for visual and infrared sensors Embedded systems design using ARM, Microblaze, or Nios processors Gigabit serial interfaces and multi-gigabit transceivers (MGTs) Experience leading technical teams and programs What We Offer: Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance and child/adult backup care. This position is located in beautiful Tucson, AZ. Tucson has a friendly, caring and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round. Additional Details: This position requires the ability to obtain a security clearance. Non-US citizens may not be eligible to obtain a security clearance. The Defense Industrial Security Clearance Office (DISCO), an agency of the Department of Defense, handles and adjudicates the security clearance process. More information about Security Clearances can be found on the US Department of State government website here: The salary range for this role is 96,000 USD - 200,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
Date Posted: 2024-02-26 Country: United States of America Location: AZ800: RMS AP Bldg East Hermans Road Building 800, Tucson, AZ, 85756 USA Position Role Type: Onsite About Us: Raytheon combines our vast resources and investments and is dedicated to solving mission-level vs. product-level customer challenges - together we can anticipate more, move faster, and make a bigger impact on the big picture. This position is 100% on site in Tucson, Arizona Tucson has a friendly, caring, and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round . Job Summary: The Test Equipment Engineering (TEE) team within the Specialty Engineering Directorate is a multi-discipline organization responsible for developing test solutions for all Raytheon products. We are hands-on, designing with the latest technology and tools, and integrate state of the art test solutions with the most advanced engineering systems in the world. TEE products are in engineering labs, in the field, and in production environments utilized for weapon system product verification, qualification, test data analysis, and production test solution development. TEE has job opportunities across all phases of the engineering lifecycle, including demonstrations, early engineering tests, design verification tests, production, and sustainment. This position is focused on the development, integration, test, and maintenance of software as a part of on-site factory support of test systems to support product testing. The selected candidate will develop applications, libraries, and instrument drivers using the software style, development language, and integrated development environment specified by the organization. The selected candidate will contribute to multidiscipline engineering teams. Typical work involves the development of both engineering and production test equipment, environments, and systems. As such, the candidate will contribute to the completion of all stages of test product development for RMD test products. They will be expected to act, either as a part of their team or independently, to uncover and resolve issues associated with the development and implementation of test systems. We value diverse skills and experience, so don't hold back. Your skills could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate: Develop and integrate object-oriented software applications using C#, C++ in Microsoft Visual Studio in the Windows Operating System (OS) Prioritize and handle multiple tasks in a production environment Troubleshooting software and test equipment Work in an engineering team environment Develop requirements for software products Written and interpersonal communication skills with Program Management Basic Qualifications : Typically requires a Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) and five (5) years of prior relevant experience OR an advance degree with three (3) years of prior relevant experience. Minimum of five (5) years developing and integrating software applications using programming languages including but not limited to C++, C#, Java, Python or Visual Basic. Minimum of five (5) years of experience utilizing integrated development environments and debugging tools to troubleshoot software implementation. Experience with Windows Operating System and/or Microsoft Visual Studio. The ability to obtain and maintain a U.S. government issued security clearance is required. U.S. citizenship is required, as only U.S. citizens are eligible for a security clearance. Qualifications We Value: Knowledge of computer architecture and computer hardware optimization techniques Knowledge of the development of software drivers for the operation of computer hardware interfaces Knowledge in common computer hardware interface and data protocols such as TCP/IP, UDP, RS-422 Familiarity with laboratory test instrumentation, electronics, and software (Ex. NI VISA, NI Measurement and Automation Explorer, NI Switch Executive) Knowledge of the Unified Modeling Language (UML) to visualize software architecture and design (Ex. IBM Rhapsody, Cameo System Modeler, and Cameo Enterprise Architecture) Familiarity of software development lifecycles, such as Agile Familiarity of Software Configuration Management principles Familiarity with Microsoft Azure DevOps Server What We Offer : Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 77,000 USD - 163,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
04/29/2024
Full time
Date Posted: 2024-02-26 Country: United States of America Location: AZ800: RMS AP Bldg East Hermans Road Building 800, Tucson, AZ, 85756 USA Position Role Type: Onsite About Us: Raytheon combines our vast resources and investments and is dedicated to solving mission-level vs. product-level customer challenges - together we can anticipate more, move faster, and make a bigger impact on the big picture. This position is 100% on site in Tucson, Arizona Tucson has a friendly, caring, and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round . Job Summary: The Test Equipment Engineering (TEE) team within the Specialty Engineering Directorate is a multi-discipline organization responsible for developing test solutions for all Raytheon products. We are hands-on, designing with the latest technology and tools, and integrate state of the art test solutions with the most advanced engineering systems in the world. TEE products are in engineering labs, in the field, and in production environments utilized for weapon system product verification, qualification, test data analysis, and production test solution development. TEE has job opportunities across all phases of the engineering lifecycle, including demonstrations, early engineering tests, design verification tests, production, and sustainment. This position is focused on the development, integration, test, and maintenance of software as a part of on-site factory support of test systems to support product testing. The selected candidate will develop applications, libraries, and instrument drivers using the software style, development language, and integrated development environment specified by the organization. The selected candidate will contribute to multidiscipline engineering teams. Typical work involves the development of both engineering and production test equipment, environments, and systems. As such, the candidate will contribute to the completion of all stages of test product development for RMD test products. They will be expected to act, either as a part of their team or independently, to uncover and resolve issues associated with the development and implementation of test systems. We value diverse skills and experience, so don't hold back. Your skills could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate: Develop and integrate object-oriented software applications using C#, C++ in Microsoft Visual Studio in the Windows Operating System (OS) Prioritize and handle multiple tasks in a production environment Troubleshooting software and test equipment Work in an engineering team environment Develop requirements for software products Written and interpersonal communication skills with Program Management Basic Qualifications : Typically requires a Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) and five (5) years of prior relevant experience OR an advance degree with three (3) years of prior relevant experience. Minimum of five (5) years developing and integrating software applications using programming languages including but not limited to C++, C#, Java, Python or Visual Basic. Minimum of five (5) years of experience utilizing integrated development environments and debugging tools to troubleshoot software implementation. Experience with Windows Operating System and/or Microsoft Visual Studio. The ability to obtain and maintain a U.S. government issued security clearance is required. U.S. citizenship is required, as only U.S. citizens are eligible for a security clearance. Qualifications We Value: Knowledge of computer architecture and computer hardware optimization techniques Knowledge of the development of software drivers for the operation of computer hardware interfaces Knowledge in common computer hardware interface and data protocols such as TCP/IP, UDP, RS-422 Familiarity with laboratory test instrumentation, electronics, and software (Ex. NI VISA, NI Measurement and Automation Explorer, NI Switch Executive) Knowledge of the Unified Modeling Language (UML) to visualize software architecture and design (Ex. IBM Rhapsody, Cameo System Modeler, and Cameo Enterprise Architecture) Familiarity of software development lifecycles, such as Agile Familiarity of Software Configuration Management principles Familiarity with Microsoft Azure DevOps Server What We Offer : Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 77,000 USD - 163,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
Job Overview: Arm's CE-Systems DFT team implements DFT for test chips and hard macros to prove out Arm soft IP power, performance, area, and functionality within the context of an SoC using the latest DFT and process technologies. The DFT team works closely with RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE. Responsibilities: Architect, implement, and validate innovative DFT techniques on test chips as well as hard macros. Insert DFT logic into SoC style designs at the RTL level and at the Synthesis gate level, validate all features, and generate ATE targeted test patterns to be run on silicon. Work closely with front-end design and verification teams on DFT RTL level insertion, back-end synthesis, place-and-route, and static-timing-analysis teams on gate level insertion and timing closure, and Test and Debug teams on silicon characterization and validation. Required Skills and Experience : This role is for a Staff DFT Engineer with proven track record in Design for Test Experience coding Verilog RTL, TCL and/or Perl Proficient in Unix/Linux environments Core DFT skills considered for this position should include some of the following: Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, back-annotated gate level verification, silicon debug, memory and scan diagnostics Bachelors or Master's degree or equivalent experience in Electronic Engineering, Computer Engineering, or a related field "Nice To Have" Skills and Experience : Familiarity with IEEE 1149, 1500, 1687, 1838 Synthesis & Static Timing Analysis Familiarity with SoC style architectures including multi-clock domain and low power design practices. Validated understanding of Siemens DFT tools Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Experience with 2.5D and 3D test Ability to work both collaboratively on a team and independently Hard-working and excellent time management skills with an ability to multi-task An upbeat demeanor to working on exciting projects on the cutting edge of technology Good analytical and debug skills with a "figure it out" mentality Experience with Siemens, Cadence, and/or Synopsys DFT and simulation tools Salary Range: From: $ 176,375.00 To $228,250.00 We value people as individuals and our dedication is to reward people competitively and equitably for the work they do and the value you bring to Arm. Salary is only one component of Arm's offering. The total reward package will be discussed with candidates during the selection process. In Return At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding. These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
04/28/2024
Full time
Job Overview: Arm's CE-Systems DFT team implements DFT for test chips and hard macros to prove out Arm soft IP power, performance, area, and functionality within the context of an SoC using the latest DFT and process technologies. The DFT team works closely with RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE. Responsibilities: Architect, implement, and validate innovative DFT techniques on test chips as well as hard macros. Insert DFT logic into SoC style designs at the RTL level and at the Synthesis gate level, validate all features, and generate ATE targeted test patterns to be run on silicon. Work closely with front-end design and verification teams on DFT RTL level insertion, back-end synthesis, place-and-route, and static-timing-analysis teams on gate level insertion and timing closure, and Test and Debug teams on silicon characterization and validation. Required Skills and Experience : This role is for a Staff DFT Engineer with proven track record in Design for Test Experience coding Verilog RTL, TCL and/or Perl Proficient in Unix/Linux environments Core DFT skills considered for this position should include some of the following: Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, back-annotated gate level verification, silicon debug, memory and scan diagnostics Bachelors or Master's degree or equivalent experience in Electronic Engineering, Computer Engineering, or a related field "Nice To Have" Skills and Experience : Familiarity with IEEE 1149, 1500, 1687, 1838 Synthesis & Static Timing Analysis Familiarity with SoC style architectures including multi-clock domain and low power design practices. Validated understanding of Siemens DFT tools Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Experience with 2.5D and 3D test Ability to work both collaboratively on a team and independently Hard-working and excellent time management skills with an ability to multi-task An upbeat demeanor to working on exciting projects on the cutting edge of technology Good analytical and debug skills with a "figure it out" mentality Experience with Siemens, Cadence, and/or Synopsys DFT and simulation tools Salary Range: From: $ 176,375.00 To $228,250.00 We value people as individuals and our dedication is to reward people competitively and equitably for the work they do and the value you bring to Arm. Salary is only one component of Arm's offering. The total reward package will be discussed with candidates during the selection process. In Return At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding. These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Date Posted: 2024-02-29 Country: United States of America Location: AZ852: RMS AP Bldg M East Hermans Road Building M02, Tucson, AZ, 85756 USA Position Role Type: Hybrid HIE Sr. Mgr - HIE Section Leader (M5) Location: AZ - Tucson Business: Raytheon About us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies defend freedoms and deter aggression. We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat. Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary: We are seeking a motivated Engineering Section Leader with experience in Harness and Interconnect or Electronics Packaging development for our Tucson, AZ facility. The Section Leader provides technical and programmatic oversight of assigned HIE development programs (including: mechanical outlines and construction, form and fit, electrical layout for structural and thermal consideration, connector selection, interfaces within the electronics package and next higher assembly, DFMA principles, the technical data package and functional verification). In addition to program assignments, the Section Leader will work with the Department Manager and rest of the leadership team in the execution of PCAS and Raytheon Engineering goals. Expect as a section lead, to supervise a team of up to 15 diverse individuals working closely with section members on their performance and career development goals. The manager will also be responsible for managing, maintaining and enforcing section skills and capabilities, as well as ensuring programs are adequately staffed. Management duties include performance development and appraisal, salary planning, manpower planning, career coaching, and ensuring adherence to company policies. Candidates will contribute to the technical oversight of employees, monitor program execution, and provide technical expertise in direct support of program efforts and proposal activities. The candidate will ensure two-way communication for section members; serve as the day-to-day liaison to the programs concerning employee job assignments, performance impact and recognition. Additional Responsibilities to Anticipate: Serving as the day-to-day liaison between section members and the programs they support across all program phases Creatively applying mechanical engineering knowledge to efficiently solve complex design problems and make sound and rationale data oriented technical conclusions. Qualities You Must Have: Education: B.S in Aerospace Engineering, Mechanical Engineering, Physics, Math, or related science degree Typically, Ten (10) or more years of overall engineering experience in systems, electrical or mechanical design, preferably in the development of Harness and Interconnect or Electronics Packaging in all development phases. The ability to obtain and maintain a US Secret security clearance. U.S. citizenship is required as only U.S. citizens are eligible for a security clearance Qualities We Value: Education: MS in Aerospace Engineering, Mechanical Engineering, Physics, Math, or related science degree Prior leadership roles (frontline or project leader) Ability to build a collaborative network quickly that focuses on empowering employees, leading and motivating teams, and fostering collaboration Experience in being an advocate for process improvement (eg CMMI, R6S), a team builder, and able to build strong relationships and effectively partner with internal resources. Familiarity with Performance Development Processes Proven ability to manage multiple and competing prioritie s Experience with technical and cost proposal development, technical risk management, and managing to cost and schedule requirements for assigned tasks Ability to multi-task and successfully manage multiple activities at any given time Strong presentation and technical writing skills combined with knowledge of Microsoft Office Tools. What We Offer: Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits on offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 118,000 USD - 246,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
04/28/2024
Full time
Date Posted: 2024-02-29 Country: United States of America Location: AZ852: RMS AP Bldg M East Hermans Road Building M02, Tucson, AZ, 85756 USA Position Role Type: Hybrid HIE Sr. Mgr - HIE Section Leader (M5) Location: AZ - Tucson Business: Raytheon About us: At Raytheon, the foundation of everything we do is rooted in our values and a higher calling - to help our nation and allies defend freedoms and deter aggression. We bring the strength of more than 100 years of experience and renowned engineering expertise to meet the needs of today's mission and stay ahead of tomorrow's threat. Our team solves tough, meaningful problems that create a safer, more secure world. Job Summary: We are seeking a motivated Engineering Section Leader with experience in Harness and Interconnect or Electronics Packaging development for our Tucson, AZ facility. The Section Leader provides technical and programmatic oversight of assigned HIE development programs (including: mechanical outlines and construction, form and fit, electrical layout for structural and thermal consideration, connector selection, interfaces within the electronics package and next higher assembly, DFMA principles, the technical data package and functional verification). In addition to program assignments, the Section Leader will work with the Department Manager and rest of the leadership team in the execution of PCAS and Raytheon Engineering goals. Expect as a section lead, to supervise a team of up to 15 diverse individuals working closely with section members on their performance and career development goals. The manager will also be responsible for managing, maintaining and enforcing section skills and capabilities, as well as ensuring programs are adequately staffed. Management duties include performance development and appraisal, salary planning, manpower planning, career coaching, and ensuring adherence to company policies. Candidates will contribute to the technical oversight of employees, monitor program execution, and provide technical expertise in direct support of program efforts and proposal activities. The candidate will ensure two-way communication for section members; serve as the day-to-day liaison to the programs concerning employee job assignments, performance impact and recognition. Additional Responsibilities to Anticipate: Serving as the day-to-day liaison between section members and the programs they support across all program phases Creatively applying mechanical engineering knowledge to efficiently solve complex design problems and make sound and rationale data oriented technical conclusions. Qualities You Must Have: Education: B.S in Aerospace Engineering, Mechanical Engineering, Physics, Math, or related science degree Typically, Ten (10) or more years of overall engineering experience in systems, electrical or mechanical design, preferably in the development of Harness and Interconnect or Electronics Packaging in all development phases. The ability to obtain and maintain a US Secret security clearance. U.S. citizenship is required as only U.S. citizens are eligible for a security clearance Qualities We Value: Education: MS in Aerospace Engineering, Mechanical Engineering, Physics, Math, or related science degree Prior leadership roles (frontline or project leader) Ability to build a collaborative network quickly that focuses on empowering employees, leading and motivating teams, and fostering collaboration Experience in being an advocate for process improvement (eg CMMI, R6S), a team builder, and able to build strong relationships and effectively partner with internal resources. Familiarity with Performance Development Processes Proven ability to manage multiple and competing prioritie s Experience with technical and cost proposal development, technical risk management, and managing to cost and schedule requirements for assigned tasks Ability to multi-task and successfully manage multiple activities at any given time Strong presentation and technical writing skills combined with knowledge of Microsoft Office Tools. What We Offer: Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits on offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 118,000 USD - 246,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
Date Posted: 2024-02-26 Country: United States of America Location: AZ800: RMS AP Bldg East Hermans Road Building 800, Tucson, AZ, 85756 USA Position Role Type: Onsite About Us: Raytheon combines our vast resources and investments and is dedicated to solving mission-level vs. product-level customer challenges - together we can anticipate more, move faster, and make a bigger impact on the big picture. This position is 100% on site in Tucson, Arizona Tucson has a friendly, caring, and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round . Job Summary: The Test Equipment Engineering (TEE) team within the Specialty Engineering Directorate is a multi-discipline organization responsible for developing test solutions for all Raytheon products. We are hands-on, designing with the latest technology and tools, and integrate state of the art test solutions with the most advanced engineering systems in the world. TEE products are in engineering labs, in the field, and in production environments utilized for weapon system product verification, qualification, test data analysis, and production test solution development. TEE has job opportunities across all phases of the engineering lifecycle, including demonstrations, early engineering tests, design verification tests, production, and sustainment. This position is focused on the development, integration, test, and maintenance of software as a part of on-site factory support of test systems to support product testing. The selected candidate will develop applications, libraries, and instrument drivers using the software style, development language, and integrated development environment specified by the organization. The selected candidate will contribute to multidiscipline engineering teams. Typical work involves the development of both engineering and production test equipment, environments, and systems. As such, the candidate will contribute to the completion of all stages of test product development for RMD test products. They will be expected to act, either as a part of their team or independently, to uncover and resolve issues associated with the development and implementation of test systems. We value diverse skills and experience, so don't hold back. Your skills could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate: Develop and integrate object-oriented software applications using C#, C++ in Microsoft Visual Studio in the Windows Operating System (OS) Prioritize and handle multiple tasks in a production environment Troubleshooting software and test equipment Work in an engineering team environment Develop requirements for software products Written and interpersonal communication skills with Program Management Basic Qualifications : Typically requires a Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) and five (5) years of prior relevant experience OR an advance degree with three (3) years of prior relevant experience. Minimum of five (5) years developing and integrating software applications using programming languages including but not limited to C++, C#, Java, Python or Visual Basic. Minimum of five (5) years of experience utilizing integrated development environments and debugging tools to troubleshoot software implementation. Experience with Windows Operating System and/or Microsoft Visual Studio. The ability to obtain and maintain a U.S. government issued security clearance is required. U.S. citizenship is required, as only U.S. citizens are eligible for a security clearance. Qualifications We Value: Knowledge of computer architecture and computer hardware optimization techniques Knowledge of the development of software drivers for the operation of computer hardware interfaces Knowledge in common computer hardware interface and data protocols such as TCP/IP, UDP, RS-422 Familiarity with laboratory test instrumentation, electronics, and software (Ex. NI VISA, NI Measurement and Automation Explorer, NI Switch Executive) Knowledge of the Unified Modeling Language (UML) to visualize software architecture and design (Ex. IBM Rhapsody, Cameo System Modeler, and Cameo Enterprise Architecture) Familiarity of software development lifecycles, such as Agile Familiarity of Software Configuration Management principles Familiarity with Microsoft Azure DevOps Server What We Offer : Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 77,000 USD - 163,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms
04/28/2024
Full time
Date Posted: 2024-02-26 Country: United States of America Location: AZ800: RMS AP Bldg East Hermans Road Building 800, Tucson, AZ, 85756 USA Position Role Type: Onsite About Us: Raytheon combines our vast resources and investments and is dedicated to solving mission-level vs. product-level customer challenges - together we can anticipate more, move faster, and make a bigger impact on the big picture. This position is 100% on site in Tucson, Arizona Tucson has a friendly, caring, and laid-back atmosphere, combined with the innovation and energy of a metropolitan region and recognized as one of America's 10 Best Small Cities. Surrounded by beautiful mountains, colorful Sonoran Desert landscape and majestic saguaro cacti, Tucson is blessed with some of nature's best work. Tucson is known for its bright blue skies, and with more than 310 sunny days per year, Tucson's fantastic weather lets residents enjoy the outdoors year-round . Job Summary: The Test Equipment Engineering (TEE) team within the Specialty Engineering Directorate is a multi-discipline organization responsible for developing test solutions for all Raytheon products. We are hands-on, designing with the latest technology and tools, and integrate state of the art test solutions with the most advanced engineering systems in the world. TEE products are in engineering labs, in the field, and in production environments utilized for weapon system product verification, qualification, test data analysis, and production test solution development. TEE has job opportunities across all phases of the engineering lifecycle, including demonstrations, early engineering tests, design verification tests, production, and sustainment. This position is focused on the development, integration, test, and maintenance of software as a part of on-site factory support of test systems to support product testing. The selected candidate will develop applications, libraries, and instrument drivers using the software style, development language, and integrated development environment specified by the organization. The selected candidate will contribute to multidiscipline engineering teams. Typical work involves the development of both engineering and production test equipment, environments, and systems. As such, the candidate will contribute to the completion of all stages of test product development for RMD test products. They will be expected to act, either as a part of their team or independently, to uncover and resolve issues associated with the development and implementation of test systems. We value diverse skills and experience, so don't hold back. Your skills could add tremendous value to our team. Our customers come from all different backgrounds, and so do our employees. If you're passionate about what you could accomplish here, we'd love to hear from you. Responsibilities to Anticipate: Develop and integrate object-oriented software applications using C#, C++ in Microsoft Visual Studio in the Windows Operating System (OS) Prioritize and handle multiple tasks in a production environment Troubleshooting software and test equipment Work in an engineering team environment Develop requirements for software products Written and interpersonal communication skills with Program Management Basic Qualifications : Typically requires a Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) and five (5) years of prior relevant experience OR an advance degree with three (3) years of prior relevant experience. Minimum of five (5) years developing and integrating software applications using programming languages including but not limited to C++, C#, Java, Python or Visual Basic. Minimum of five (5) years of experience utilizing integrated development environments and debugging tools to troubleshoot software implementation. Experience with Windows Operating System and/or Microsoft Visual Studio. The ability to obtain and maintain a U.S. government issued security clearance is required. U.S. citizenship is required, as only U.S. citizens are eligible for a security clearance. Qualifications We Value: Knowledge of computer architecture and computer hardware optimization techniques Knowledge of the development of software drivers for the operation of computer hardware interfaces Knowledge in common computer hardware interface and data protocols such as TCP/IP, UDP, RS-422 Familiarity with laboratory test instrumentation, electronics, and software (Ex. NI VISA, NI Measurement and Automation Explorer, NI Switch Executive) Knowledge of the Unified Modeling Language (UML) to visualize software architecture and design (Ex. IBM Rhapsody, Cameo System Modeler, and Cameo Enterprise Architecture) Familiarity of software development lifecycles, such as Agile Familiarity of Software Configuration Management principles Familiarity with Microsoft Azure DevOps Server What We Offer : Whether you're just starting out on your career journey or are an experienced professional, we offer a robust total rewards package that goes above and beyond with compensation; healthcare, wellness, retirement, and work/life benefits; career development and recognition programs. Some of the superior benefits we offer include parental (including paternal) leave, flexible work schedules, achievement awards, educational assistance, and child/adult backup care. The salary range for this role is 77,000 USD - 163,000 USD. The salary range provided is a good faith estimate representative of all experience levels. RTX considers several factors when extending an offer, including but not limited to, the role, function and associated responsibilities, a candidate's work experience, location, education/training, and key skills. Hired applicants may be eligible for benefits, including but not limited to, medical, dental, vision, life insurance, short-term disability, long-term disability, 401(k) match, flexible spending accounts, flexible work schedules, employee assistance program, Employee Scholar Program, parental leave, paid time off, and holidays. Specific benefits are dependent upon the specific business unit as well as whether or not the position is covered by a collective-bargaining agreement. Hired applicants may be eligible for annual short-term and/or long-term incentive compensation programs depending on the level of the position and whether or not it is covered by a collective-bargaining agreement. Payments under these annual programs are not guaranteed and are dependent upon a variety of factors including, but not limited to, individual performance, business unit performance, and/or the company's performance. This role is a U.S.-based role. If the successful candidate resides in a U.S. territory, the appropriate pay structure and benefits will apply. RTX anticipates the application window closing approximately 40 days from the date the notice was posted. However, factors such as candidate flow and business necessity may require RTX to shorten or extend the application window. RTX is An Equal Opportunity/Affirmative Action Employer. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability or veteran status, age or any other federally protected class. Privacy Policy and Terms: Click on this link to read the Policy and Terms