Job Description This position reports to: Senior Manager, AMS Solution Consulting - App Engine We're the fastest growing enterprise cloud software platform company in the world above $1 billion. And we believe its great people who will keep us there. We hire carefully, we hire the best, we celebrate our people. Come join our Sales A-team. Work matters. It's where we spend a third of our lives. And the workplace of the future is going to be a great place. We're dedicated to bringing that to life for people everywhere. That's why we put people at the heart of everything we do. People matter. Our people have a passion for learning, building, and innovating. Whether you're an engineer, a sales professional, a finance professional, or anything in-between, our roles aim to provide each person with meaningful impact and plenty of space to grow. As a member of our pre-sales team, you will have a major impact on our future success. Creator Workflows and Custom Application Development on the Now Platform App Engine is a rapidly growing focus area for ServiceNow. Since the Now Platform is now a leader in 2020 in the Garter Magic Quadrant for Enterprise Low-Code Application Platforms, and Forester's Low-Code Wave, members of this team will have an opportunity to drive this next growth engine for ServiceNow, along with support from the business unit, executive team, and sales. ServiceNow is seeking an Advisory Solution Consultant - App Engine (Creator Workflows) that is a customer facing domain expert, that drives innovative business solutions with our customers, by applying technical and business skills. This role is a Pre-Sales/Sales Engineering/Solution Consulting role that is well versed in architecting custom solutions to address business problems on the Now Platform (or similar platforms). The role also requires demonstration and prototyping of platform capabilities, and in select cases identifying the specific requirements needed to work with designers and developers to build and present innovative pre-sales solution prototypes to customers. The Advisory Solution Consultant - App Engine will deliver strategic visions to executive personas at clients on the value of ServiceNow solutions as well. The candidate must be able to articulate the business value message in front of both technical and non-technical customers. You will partner with the sales, solution consulting and the rest of the ServiceNow ecosystem to achieve customer success through thought leadership and driving awareness. The role takes a consultative approach with our customers and prospects to discover and map business outcome-driven solutions. The role requires demonstration and prototyping of platform capabilities, and also to identify the specific requirements needed to develop innovative application prototypes for specific customers. The Advisory Solution Consultant - App Engine will also provide solutions and enablement to the technical sales force and provide prospective customers with product and solution advice during pre-sales discussions. The Advisory Solution Consultant - App Engine will provide technical and business expertise to support customers with product and solution leadership during pre-sales engagements. This senior role serves as a value consultant who can go wide and deep on solution delivery and solution positioning during sales cycles. What you get to do in this role: Drive innovative business solutions and digital transformation with our customers Consult with customers and prospects to understand their business needs and pain points, and evangelize the business value of solution development on the Now Platform Partner with Sales and Solution Consulting to qualify customer needs and identify opportunities to deliver high value business outcomes for clients Architect and develop innovative solutions in collaboration with a cross-functional digital consulting team in a pre-sales environment Develop and enhance application prototypes on the ServiceNow platform both independently, and as the lead architect on a team with expert UI designers and developers Demonstrate to customers and prospects the experience of developing and extending applications on the ServiceNow platform Conduct architectural and/or technical workshops that may include enablement sessions Contribute to and help maintain an asset library of applications developed on the platform Answer questions and provide guidance to Solution Consultants in the field regarding application customization and development Interact with the ServiceNow community as a thought leader on developing and extending applications on the ServiceNow platform Contribute to programs that enable Solution Consultants to demonstrate the value of developing applications on the ServiceNow platform Scope and develop application development Proof of Concepts/prototypes with prospective customers Be the platform subject matter expert at executive briefings, workshops and marketing events Document and present technical solutions aligned with our client's business problems Facilitate and lead workshops for customers focusing on innovation, ideation, co-creation, development and validation of solutions on the Now Platform. Provide mentoring to solution consulting peers and other colleagues in the organization Contribute to and help maintain internal forums and asset libraries to enable innovation at scale Interact with the wider ServiceNow community as a thought leader on innovative ways to leverage the Now Platform and products Presenting at executive briefings and marketing events Work closely with Product Management on upcoming releases and provide feedback from customer interaction Keep on top of ServiceNow product developments, complementary technology and external trends in order to identify opportunities to broaden the use of the Now Platform outside of typical domains
04/17/2024
Full time
Job Description This position reports to: Senior Manager, AMS Solution Consulting - App Engine We're the fastest growing enterprise cloud software platform company in the world above $1 billion. And we believe its great people who will keep us there. We hire carefully, we hire the best, we celebrate our people. Come join our Sales A-team. Work matters. It's where we spend a third of our lives. And the workplace of the future is going to be a great place. We're dedicated to bringing that to life for people everywhere. That's why we put people at the heart of everything we do. People matter. Our people have a passion for learning, building, and innovating. Whether you're an engineer, a sales professional, a finance professional, or anything in-between, our roles aim to provide each person with meaningful impact and plenty of space to grow. As a member of our pre-sales team, you will have a major impact on our future success. Creator Workflows and Custom Application Development on the Now Platform App Engine is a rapidly growing focus area for ServiceNow. Since the Now Platform is now a leader in 2020 in the Garter Magic Quadrant for Enterprise Low-Code Application Platforms, and Forester's Low-Code Wave, members of this team will have an opportunity to drive this next growth engine for ServiceNow, along with support from the business unit, executive team, and sales. ServiceNow is seeking an Advisory Solution Consultant - App Engine (Creator Workflows) that is a customer facing domain expert, that drives innovative business solutions with our customers, by applying technical and business skills. This role is a Pre-Sales/Sales Engineering/Solution Consulting role that is well versed in architecting custom solutions to address business problems on the Now Platform (or similar platforms). The role also requires demonstration and prototyping of platform capabilities, and in select cases identifying the specific requirements needed to work with designers and developers to build and present innovative pre-sales solution prototypes to customers. The Advisory Solution Consultant - App Engine will deliver strategic visions to executive personas at clients on the value of ServiceNow solutions as well. The candidate must be able to articulate the business value message in front of both technical and non-technical customers. You will partner with the sales, solution consulting and the rest of the ServiceNow ecosystem to achieve customer success through thought leadership and driving awareness. The role takes a consultative approach with our customers and prospects to discover and map business outcome-driven solutions. The role requires demonstration and prototyping of platform capabilities, and also to identify the specific requirements needed to develop innovative application prototypes for specific customers. The Advisory Solution Consultant - App Engine will also provide solutions and enablement to the technical sales force and provide prospective customers with product and solution advice during pre-sales discussions. The Advisory Solution Consultant - App Engine will provide technical and business expertise to support customers with product and solution leadership during pre-sales engagements. This senior role serves as a value consultant who can go wide and deep on solution delivery and solution positioning during sales cycles. What you get to do in this role: Drive innovative business solutions and digital transformation with our customers Consult with customers and prospects to understand their business needs and pain points, and evangelize the business value of solution development on the Now Platform Partner with Sales and Solution Consulting to qualify customer needs and identify opportunities to deliver high value business outcomes for clients Architect and develop innovative solutions in collaboration with a cross-functional digital consulting team in a pre-sales environment Develop and enhance application prototypes on the ServiceNow platform both independently, and as the lead architect on a team with expert UI designers and developers Demonstrate to customers and prospects the experience of developing and extending applications on the ServiceNow platform Conduct architectural and/or technical workshops that may include enablement sessions Contribute to and help maintain an asset library of applications developed on the platform Answer questions and provide guidance to Solution Consultants in the field regarding application customization and development Interact with the ServiceNow community as a thought leader on developing and extending applications on the ServiceNow platform Contribute to programs that enable Solution Consultants to demonstrate the value of developing applications on the ServiceNow platform Scope and develop application development Proof of Concepts/prototypes with prospective customers Be the platform subject matter expert at executive briefings, workshops and marketing events Document and present technical solutions aligned with our client's business problems Facilitate and lead workshops for customers focusing on innovation, ideation, co-creation, development and validation of solutions on the Now Platform. Provide mentoring to solution consulting peers and other colleagues in the organization Contribute to and help maintain internal forums and asset libraries to enable innovation at scale Interact with the wider ServiceNow community as a thought leader on innovative ways to leverage the Now Platform and products Presenting at executive briefings and marketing events Work closely with Product Management on upcoming releases and provide feedback from customer interaction Keep on top of ServiceNow product developments, complementary technology and external trends in order to identify opportunities to broaden the use of the Now Platform outside of typical domains
Job Description This position reports to: Senior Manager, AMS Solution Consulting - App Engine We're the fastest growing enterprise cloud software platform company in the world above $1 billion. And we believe its great people who will keep us there. We hire carefully, we hire the best, we celebrate our people. Come join our Sales A-team. Work matters. It's where we spend a third of our lives. And the workplace of the future is going to be a great place. We're dedicated to bringing that to life for people everywhere. That's why we put people at the heart of everything we do. People matter. Our people have a passion for learning, building, and innovating. Whether you're an engineer, a sales professional, a finance professional, or anything in-between, our roles aim to provide each person with meaningful impact and plenty of space to grow. As a member of our pre-sales team, you will have a major impact on our future success. Creator Workflows and Custom Application Development on the Now Platform App Engine is a rapidly growing focus area for ServiceNow. Since the Now Platform is now a leader in 2020 in the Garter Magic Quadrant for Enterprise Low-Code Application Platforms, and Forester's Low-Code Wave, members of this team will have an opportunity to drive this next growth engine for ServiceNow, along with support from the business unit, executive team, and sales. ServiceNow is seeking an Advisory Solution Consultant - App Engine (Creator Workflows) that is a customer facing domain expert, that drives innovative business solutions with our customers, by applying technical and business skills. This role is a Pre-Sales/Sales Engineering/Solution Consulting role that is well versed in architecting custom solutions to address business problems on the Now Platform (or similar platforms). The role also requires demonstration and prototyping of platform capabilities, and in select cases identifying the specific requirements needed to work with designers and developers to build and present innovative pre-sales solution prototypes to customers. The Advisory Solution Consultant - App Engine will deliver strategic visions to executive personas at clients on the value of ServiceNow solutions as well. The candidate must be able to articulate the business value message in front of both technical and non-technical customers. You will partner with the sales, solution consulting and the rest of the ServiceNow ecosystem to achieve customer success through thought leadership and driving awareness. The role takes a consultative approach with our customers and prospects to discover and map business outcome-driven solutions. The role requires demonstration and prototyping of platform capabilities, and also to identify the specific requirements needed to develop innovative application prototypes for specific customers. The Advisory Solution Consultant - App Engine will also provide solutions and enablement to the technical sales force and provide prospective customers with product and solution advice during pre-sales discussions. The Advisory Solution Consultant - App Engine will provide technical and business expertise to support customers with product and solution leadership during pre-sales engagements. This senior role serves as a value consultant who can go wide and deep on solution delivery and solution positioning during sales cycles. What you get to do in this role: Drive innovative business solutions and digital transformation with our customers Consult with customers and prospects to understand their business needs and pain points, and evangelize the business value of solution development on the Now Platform Partner with Sales and Solution Consulting to qualify customer needs and identify opportunities to deliver high value business outcomes for clients Architect and develop innovative solutions in collaboration with a cross-functional digital consulting team in a pre-sales environment Develop and enhance application prototypes on the ServiceNow platform both independently, and as the lead architect on a team with expert UI designers and developers Demonstrate to customers and prospects the experience of developing and extending applications on the ServiceNow platform Conduct architectural and/or technical workshops that may include enablement sessions Contribute to and help maintain an asset library of applications developed on the platform Answer questions and provide guidance to Solution Consultants in the field regarding application customization and development Interact with the ServiceNow community as a thought leader on developing and extending applications on the ServiceNow platform Contribute to programs that enable Solution Consultants to demonstrate the value of developing applications on the ServiceNow platform Scope and develop application development Proof of Concepts/prototypes with prospective customers Be the platform subject matter expert at executive briefings, workshops and marketing events Document and present technical solutions aligned with our client's business problems Facilitate and lead workshops for customers focusing on innovation, ideation, co-creation, development and validation of solutions on the Now Platform. Provide mentoring to solution consulting peers and other colleagues in the organization Contribute to and help maintain internal forums and asset libraries to enable innovation at scale Interact with the wider ServiceNow community as a thought leader on innovative ways to leverage the Now Platform and products Presenting at executive briefings and marketing events Work closely with Product Management on upcoming releases and provide feedback from customer interaction Keep on top of ServiceNow product developments, complementary technology and external trends in order to identify opportunities to broaden the use of the Now Platform outside of typical domains
04/17/2024
Full time
Job Description This position reports to: Senior Manager, AMS Solution Consulting - App Engine We're the fastest growing enterprise cloud software platform company in the world above $1 billion. And we believe its great people who will keep us there. We hire carefully, we hire the best, we celebrate our people. Come join our Sales A-team. Work matters. It's where we spend a third of our lives. And the workplace of the future is going to be a great place. We're dedicated to bringing that to life for people everywhere. That's why we put people at the heart of everything we do. People matter. Our people have a passion for learning, building, and innovating. Whether you're an engineer, a sales professional, a finance professional, or anything in-between, our roles aim to provide each person with meaningful impact and plenty of space to grow. As a member of our pre-sales team, you will have a major impact on our future success. Creator Workflows and Custom Application Development on the Now Platform App Engine is a rapidly growing focus area for ServiceNow. Since the Now Platform is now a leader in 2020 in the Garter Magic Quadrant for Enterprise Low-Code Application Platforms, and Forester's Low-Code Wave, members of this team will have an opportunity to drive this next growth engine for ServiceNow, along with support from the business unit, executive team, and sales. ServiceNow is seeking an Advisory Solution Consultant - App Engine (Creator Workflows) that is a customer facing domain expert, that drives innovative business solutions with our customers, by applying technical and business skills. This role is a Pre-Sales/Sales Engineering/Solution Consulting role that is well versed in architecting custom solutions to address business problems on the Now Platform (or similar platforms). The role also requires demonstration and prototyping of platform capabilities, and in select cases identifying the specific requirements needed to work with designers and developers to build and present innovative pre-sales solution prototypes to customers. The Advisory Solution Consultant - App Engine will deliver strategic visions to executive personas at clients on the value of ServiceNow solutions as well. The candidate must be able to articulate the business value message in front of both technical and non-technical customers. You will partner with the sales, solution consulting and the rest of the ServiceNow ecosystem to achieve customer success through thought leadership and driving awareness. The role takes a consultative approach with our customers and prospects to discover and map business outcome-driven solutions. The role requires demonstration and prototyping of platform capabilities, and also to identify the specific requirements needed to develop innovative application prototypes for specific customers. The Advisory Solution Consultant - App Engine will also provide solutions and enablement to the technical sales force and provide prospective customers with product and solution advice during pre-sales discussions. The Advisory Solution Consultant - App Engine will provide technical and business expertise to support customers with product and solution leadership during pre-sales engagements. This senior role serves as a value consultant who can go wide and deep on solution delivery and solution positioning during sales cycles. What you get to do in this role: Drive innovative business solutions and digital transformation with our customers Consult with customers and prospects to understand their business needs and pain points, and evangelize the business value of solution development on the Now Platform Partner with Sales and Solution Consulting to qualify customer needs and identify opportunities to deliver high value business outcomes for clients Architect and develop innovative solutions in collaboration with a cross-functional digital consulting team in a pre-sales environment Develop and enhance application prototypes on the ServiceNow platform both independently, and as the lead architect on a team with expert UI designers and developers Demonstrate to customers and prospects the experience of developing and extending applications on the ServiceNow platform Conduct architectural and/or technical workshops that may include enablement sessions Contribute to and help maintain an asset library of applications developed on the platform Answer questions and provide guidance to Solution Consultants in the field regarding application customization and development Interact with the ServiceNow community as a thought leader on developing and extending applications on the ServiceNow platform Contribute to programs that enable Solution Consultants to demonstrate the value of developing applications on the ServiceNow platform Scope and develop application development Proof of Concepts/prototypes with prospective customers Be the platform subject matter expert at executive briefings, workshops and marketing events Document and present technical solutions aligned with our client's business problems Facilitate and lead workshops for customers focusing on innovation, ideation, co-creation, development and validation of solutions on the Now Platform. Provide mentoring to solution consulting peers and other colleagues in the organization Contribute to and help maintain internal forums and asset libraries to enable innovation at scale Interact with the wider ServiceNow community as a thought leader on innovative ways to leverage the Now Platform and products Presenting at executive briefings and marketing events Work closely with Product Management on upcoming releases and provide feedback from customer interaction Keep on top of ServiceNow product developments, complementary technology and external trends in order to identify opportunities to broaden the use of the Now Platform outside of typical domains
Johns Hopkins Health Systems has an immediate opportunity for a Design and Construction Project Manager II. Join an amazing global healthcare organization! We offer a stable environment, growth opportunities, and an outstanding benefits package including dependent tuition reimbursement! Position Summary Project Managers are responsible for the construction of additions, alterations, and renovations at The Johns Hopkins Hospital, The Johns Hopkins School of Medicine, the Johns Hopkins Bayview Medical Center, and/or various satellite ambulatory locations that require project management support. The ideal candidate should possess proficiency delivering all aspects of construction projects including project initiation, design, budget, construction, safety/compliance, and closeout. Project Managers may also be assigned to support aspects of larger projects by Senior Project Managers and/or Project Executives as needed. Beyond the required technical skills for this position, Project Managers must also possess effective communication, interpersonal, and problem-solving skills with the ability to build positive working relationships with a variety of internal and external stakeholders. Essential Duties, Functions, and Responsibilities Manages renovation, tenant fit-out, and capital renewal projects ranging in cost up to, and at times exceeding $1M and may be higher for certain Projects. Responsibilities often include tight timelines, focused programs, difficult logistics, and fixed budgets. Works cohesively with partner departments such as architecture/planning, finance, engineering/operations, clinical engineering, and others on a regular basis to deliver projects on time, at or below budget and meet the project's stated goals. Provides exemplary customer service by providing timely and accurate updates to internal team members and the client representative regarding project status, budget, and schedule. All Project Manager Classifications: Project Initiation Reviews program requirements and scope with Client Representative & Users Works with both JH Architecture / Planning as well as Facilities Engineering to define program space and infrastructure requirements Incorporates Economic Inclusion goals into each project Develops project milestone schedule Manages project documentation and records including budget approval, design documents, invoicing, RFIs, submittals and such. Sets up project in the project management software system Proposes project or program changes based on observation and assessment of existing conditions and situations in facility Establishes project deliverable requirements and timing Project Design Coordinates internal and external project reviews. Ensures adherence to internal policies, procedures, and project management standards Promotes sustainable practices in the project delivery process Reviews project design for adherence to JHHS design standards and client requirements Manages project design to ensure budget and schedule compliance Monitor project deliverables for compliance with project requirements Reviews Economic Inclusion work plans and monitors/verifies participation Monitors design schedule to meet project milestones Review project status and provide risk assessment regarding budget and schedule Project Budget Establishes, maintains, and monitors project budget Provides cash flow projections as required Reviews and approves all project invoices Reviews and approves all change events and change orders individually or with senior staff Manages budget forecasts, cash flow and contingency Project Construction Coordinates project logistics, distribute notification to JHHS and non-JHHS stakeholders Coordinates project outage requirements Coordinates systems commissioning and any subsequent remedies Coordinates the timing and installation of architecturally significant equipment Ensures adherence to policies, procedures, and department project management standards Promotes sustainable practices in the project delivery process Monitors project construction for adherence to JHHS design standards and client requirements Manages project construction to ensure budget and schedule compliance Monitors construction schedule to meet project milestones Monitors project deliverables for compliance with project requirements Reviews Economic Inclusion work plans and monitors/verifies participation Coordinates outside inspections by Authorities Having Jurisdiction (AHJ's) Reviews RFIs and submittals for compliance with project requirements and design standards Reviews project status and provides risk assessment regarding budget and schedule Coordinates and participates in post-occupancy evaluations. Additional responsibilities applicable to Project Manager II Works independently on projects with higher risk and complexity, with guidance and oversight of more senior project managers. When directed, supervises and oversees the work of other team staff on specific projects Serves as departmental representative (alone or with departmental colleagues) for committees of affiliates when directed Serves as a mentor to the departmental interns as a first step in leadership development Interacts under the guidance of more senior project managers with Authorities Having Jurisdiction (AHJ's) who permit, inspect and approve project work to resolve discrepancies. Desired Core Competencies Self-Motivated and Organized - Ability to work independently and establish structure for work execution. The Project Manager needs to adapt to the changes in the project's cadence and re-align both their own work as well as that of team members Problem-Solving - The ability to analyze and solve challenging technical issues daily. Know when quick decisions are necessary and when further evaluation and assessment is warranted. Know when and how to ask the right, and sometimes difficult, questions. Knows when to escalate problems and issues to senior leadership while offering solutions and options for resolution. Collaboration - The ability to effectively communicate and build productive working relationships with the aim of delivering excellent customer service. Ability to coordinate and prioritize tasks, resolve problems, and negotiate solutions. Integrity & Ethics - Professional ethics, a commitment to health and safety, interpersonal respect, and a focus on quality are essential to delivering successful projects. Required Minimum Education & Experience Bachelor's degree in Architecture, Engineering, Construction Management, or related field is preferred. Candidates who possess equivalent advanced technical knowledge via an associate degree, training in trades programs, vocational or technical school, partially completed coursework at a college/university, or apprenticeship sponsor organization will be considered. Candidates holding a bachelor's degree or greater are required to have at least 3 years of project management experience in a comparable position leading or supporting the delivery of construction above $30M. Individuals who do not possess a college degree are required to have at least 8 years of comparable professional experience. Project Manager II No Degree 8 yrs. Health Care Design/Construction Associate's Degree 5 yrs. Health Care Design/Construction Bachelor's Degree 3 yrs. Health Care Design/Construction Johns Hopkins Health System and its affiliates are drug-free workplace employers. We are committed to providing a healthy and safe environment for our patients, visitors and staff members. The Johns Hopkins Hospital has a tobacco-free at work policy. Employees must refrain from using any tobacco products during their work shifts - including while at lunch or on breaks, and whether they are on or off campus.
04/17/2024
Full time
Johns Hopkins Health Systems has an immediate opportunity for a Design and Construction Project Manager II. Join an amazing global healthcare organization! We offer a stable environment, growth opportunities, and an outstanding benefits package including dependent tuition reimbursement! Position Summary Project Managers are responsible for the construction of additions, alterations, and renovations at The Johns Hopkins Hospital, The Johns Hopkins School of Medicine, the Johns Hopkins Bayview Medical Center, and/or various satellite ambulatory locations that require project management support. The ideal candidate should possess proficiency delivering all aspects of construction projects including project initiation, design, budget, construction, safety/compliance, and closeout. Project Managers may also be assigned to support aspects of larger projects by Senior Project Managers and/or Project Executives as needed. Beyond the required technical skills for this position, Project Managers must also possess effective communication, interpersonal, and problem-solving skills with the ability to build positive working relationships with a variety of internal and external stakeholders. Essential Duties, Functions, and Responsibilities Manages renovation, tenant fit-out, and capital renewal projects ranging in cost up to, and at times exceeding $1M and may be higher for certain Projects. Responsibilities often include tight timelines, focused programs, difficult logistics, and fixed budgets. Works cohesively with partner departments such as architecture/planning, finance, engineering/operations, clinical engineering, and others on a regular basis to deliver projects on time, at or below budget and meet the project's stated goals. Provides exemplary customer service by providing timely and accurate updates to internal team members and the client representative regarding project status, budget, and schedule. All Project Manager Classifications: Project Initiation Reviews program requirements and scope with Client Representative & Users Works with both JH Architecture / Planning as well as Facilities Engineering to define program space and infrastructure requirements Incorporates Economic Inclusion goals into each project Develops project milestone schedule Manages project documentation and records including budget approval, design documents, invoicing, RFIs, submittals and such. Sets up project in the project management software system Proposes project or program changes based on observation and assessment of existing conditions and situations in facility Establishes project deliverable requirements and timing Project Design Coordinates internal and external project reviews. Ensures adherence to internal policies, procedures, and project management standards Promotes sustainable practices in the project delivery process Reviews project design for adherence to JHHS design standards and client requirements Manages project design to ensure budget and schedule compliance Monitor project deliverables for compliance with project requirements Reviews Economic Inclusion work plans and monitors/verifies participation Monitors design schedule to meet project milestones Review project status and provide risk assessment regarding budget and schedule Project Budget Establishes, maintains, and monitors project budget Provides cash flow projections as required Reviews and approves all project invoices Reviews and approves all change events and change orders individually or with senior staff Manages budget forecasts, cash flow and contingency Project Construction Coordinates project logistics, distribute notification to JHHS and non-JHHS stakeholders Coordinates project outage requirements Coordinates systems commissioning and any subsequent remedies Coordinates the timing and installation of architecturally significant equipment Ensures adherence to policies, procedures, and department project management standards Promotes sustainable practices in the project delivery process Monitors project construction for adherence to JHHS design standards and client requirements Manages project construction to ensure budget and schedule compliance Monitors construction schedule to meet project milestones Monitors project deliverables for compliance with project requirements Reviews Economic Inclusion work plans and monitors/verifies participation Coordinates outside inspections by Authorities Having Jurisdiction (AHJ's) Reviews RFIs and submittals for compliance with project requirements and design standards Reviews project status and provides risk assessment regarding budget and schedule Coordinates and participates in post-occupancy evaluations. Additional responsibilities applicable to Project Manager II Works independently on projects with higher risk and complexity, with guidance and oversight of more senior project managers. When directed, supervises and oversees the work of other team staff on specific projects Serves as departmental representative (alone or with departmental colleagues) for committees of affiliates when directed Serves as a mentor to the departmental interns as a first step in leadership development Interacts under the guidance of more senior project managers with Authorities Having Jurisdiction (AHJ's) who permit, inspect and approve project work to resolve discrepancies. Desired Core Competencies Self-Motivated and Organized - Ability to work independently and establish structure for work execution. The Project Manager needs to adapt to the changes in the project's cadence and re-align both their own work as well as that of team members Problem-Solving - The ability to analyze and solve challenging technical issues daily. Know when quick decisions are necessary and when further evaluation and assessment is warranted. Know when and how to ask the right, and sometimes difficult, questions. Knows when to escalate problems and issues to senior leadership while offering solutions and options for resolution. Collaboration - The ability to effectively communicate and build productive working relationships with the aim of delivering excellent customer service. Ability to coordinate and prioritize tasks, resolve problems, and negotiate solutions. Integrity & Ethics - Professional ethics, a commitment to health and safety, interpersonal respect, and a focus on quality are essential to delivering successful projects. Required Minimum Education & Experience Bachelor's degree in Architecture, Engineering, Construction Management, or related field is preferred. Candidates who possess equivalent advanced technical knowledge via an associate degree, training in trades programs, vocational or technical school, partially completed coursework at a college/university, or apprenticeship sponsor organization will be considered. Candidates holding a bachelor's degree or greater are required to have at least 3 years of project management experience in a comparable position leading or supporting the delivery of construction above $30M. Individuals who do not possess a college degree are required to have at least 8 years of comparable professional experience. Project Manager II No Degree 8 yrs. Health Care Design/Construction Associate's Degree 5 yrs. Health Care Design/Construction Bachelor's Degree 3 yrs. Health Care Design/Construction Johns Hopkins Health System and its affiliates are drug-free workplace employers. We are committed to providing a healthy and safe environment for our patients, visitors and staff members. The Johns Hopkins Hospital has a tobacco-free at work policy. Employees must refrain from using any tobacco products during their work shifts - including while at lunch or on breaks, and whether they are on or off campus.
At Diversified, we don't just follow tech trends - we set them by leveraging the best in technology and ongoing advisory services to transform businesses. Our comprehensive suite of solutions is engineered to help our clients build connections that make a difference - whether by inspiring viewers, engaging associates, motivating audiences, or streamlining and safeguarding operations. Our dedicated teams craft solutions experienced by millions every day including: Delivering the fan experience at one of 100+ sports facilities for the NFL, MLB, NBA, NHL, MLS, NCAA Building the first fly pack broadcast system transportable by air - bringing the 2022 World Cup and Super Bowl into homes across the world Engineering the first high-density pixel canvas to display HD content at that scale for the Vornado, Marriott Marquis LED Display in Times Square, NY Empowering and monitoring communication and collaboration solutions within multi-national companies around the globe Founded in 1993, we're a global organization serving local needs with associates worldwide. Learn more at and follow us on LinkedIn and Twitter. What part will you play? The Critical Infrastructure Design Engineer (DE) is a member of the core team for each mission critical project. Alone on small projects or part of a team of engineers on large projects the DE provides technical direction and insight through the project life cycle from sales concept to design development, construction drawings to implementation support. As a technical authority on a project team, the DE is instrumental in the determination and execution of client and system requirements and will collaborate with Workplace teams as required. Reporting to a Senior Manager of Project Engineering, the DE's project assignments are determined by Operations Leadership based on enterprise-wide demands. At times, the DE will be supervised and receive specific task assignments by Senior Design Engineers or Project Managers. What will you be doing? Participate in complex engagements and works directly with clients and project teams to develop budgets, timelines and manage expectations. Negotiates changes in deliverables and schedules and is an escalation point for engineering issues. Conceptualize system designs and determine system requirements. Provide technical expertise and coordination information to support the base building tasks performed by others, and planning of audio, video, and control solutions. Oversee and/or develop the Bill of Materials (BOM) and supporting documentation. Employ detailed design documentation strategy based on established engineering standard practices. Track engineering team tasks to completion. Generate functional system descriptions, complete block wiring diagrams, rack elevations, custom plates, or cabling assemblies. Research, identify, and recommend technology options for clients and projects. Provide technical expertise for the creation of and later reconciliation of the complete construction drawing package, support documentation for installation and coordination with architect and other trades. Support testing, configuration and commission efforts during project deployment. Support projects and teams in build phase. Complete the as-built drawings for service hand-over. Provide regular project engineering milestone status updates to Technical Operations resource planning. Develop expertise in the industry through ongoing review of trade publications, participation in industry trade shows, seminars, and educational forums. Complexity: The Design Engineer works with their Senior Manager, the Technical Operations Directors on resource assignment. This role is primarily billable in a post-sales capacity, though there are times when the DE will work on presales estimation and design efforts. Decision Making Authority: As the final sign off on project bill of materials prior to purchasing, the DE is responsible for vetting products and making detailed equipment decisions. As a technical leader on a project, the DE has the authority to recommend direction on scope changes and programming and commissioning activities. Physical Demands: The physical demands described here are representative of those that may be met by an employee. Work will normally be performed within normal office conditions and/or home office depending on location of SDE. On occasions the employee may be exposed to construction site conditions. Employee will be responsible for their safety while on job sites and to comply with all safety regulations in place by the GC. Use of PPE is usually required on job sites. The employee can typically spend at least 50% of their time on their feet and be required to mobile around the construction site. The individual is regularly required to use keyboards, test equipment and controls required for SI installation projects. Specific vision abilities required for this job include close vision, distance vision, peripheral vision, and depth vision. Travel: Occasional travel by car and occasional airplane trips are required. Employee must possess a valid driver's license in their state of residence. Employee will be required to use their own vehicle and will be reimbursed for mileage at the current corporate rate. Local travel to job sites (within a 2-hour driving distance each way) will make up 90% usually but remote travel can be up to 30% of the job at times. What do we require from you? Education/Certifications: High school diploma or GED required Associate/Bachelor degree and/or equivalent experience required AVIXA CTS certification required AVIXA CTS-D is preferred Network Certifications desired: CompTIA Network +, Security +, Cisco CCNA, Extreme Networks ECA Certifications or training desired with at least one DSP platform (Biamp, QSC, Clearone, etc.) Certifications or training desired with at least one control system (Crestron, Extron, AMX, etc.) Certifications or training desired with at least one Manufacturer Ecosystem (Barco, Vuwall, Haivision, QSC, BIAMP) Certifications or training desired with at least one collaboration platform (Zoom, Webex, Teams, etc.) Proficient in AutoCAD and MS Office 365 Other relevant certifications may be required or preferred based on the technology focus of the position. Required/Desired Knowledge, Experience and Skills: TS/SCI Security Clearance (Full Scope Poly) - Must be able to obtain within first 6 months 5+ years of experience with control room/operations center 3+ years of experience with IP Networking , to include TCP/IP routing, ACL's, QoS, VLANs, PoE calculations, Multicasting, PIM SM/DM, IGMP, SNMP, SSO and Security Certificates 1+ years of experience with enterprise-level security and networking design and configuration (physical and topology, IP structures and ranges, switch interconnectivity, VLAN, bandwidth calculation) 1+ years of experience with Barco devices and control room software ( UniSee, TransForm N / CMS , etc.) Proven track record of successfully completing engineering projects. A clear understanding of project phases, to include Presales, Program, Conceptualization, Schematic Design, Design Development, Construction Documentation, Construction, and Commissioning. A thorough, demonstrable understanding of the construction process, installation, and integration as well as architectural and construction drawings and specifications. Detail oriented, independent, self-starter Exceptional time management skills with a track record for meeting deadlines. Excellent communication skills. Ability to analyze complex issues and communicate concise succinct messages. Analytical thinking, and high level of problems solving and technical troubleshooting skills. To learn more about becoming part of the Diversified team, visit us at or email us at . Diversified is an equal employment opportunity employer and all aspects of employment will be based on job requirements, individual qualifications, merit, performance and business needs. We provide equal employment opportunities to all employees and applicants without regard to race, color, religion, national origin, gender, sexual orientation, gender identity, veteran status, age, disability or genetic information, or any other applicable characteristic protected under federal, state or local law. We celebrate diversity and encourage people of all backgrounds to apply for available positions. Individuals needing assistance or an accommodation to complete an application due to a disability, may contact Human Resources at . Other details Pay Type Salary Min Hiring Rate $130,000.00 Max Hiring Rate $150,000.00 Travel Required Yes Travel % 40 Telecommute % 60
04/17/2024
Full time
At Diversified, we don't just follow tech trends - we set them by leveraging the best in technology and ongoing advisory services to transform businesses. Our comprehensive suite of solutions is engineered to help our clients build connections that make a difference - whether by inspiring viewers, engaging associates, motivating audiences, or streamlining and safeguarding operations. Our dedicated teams craft solutions experienced by millions every day including: Delivering the fan experience at one of 100+ sports facilities for the NFL, MLB, NBA, NHL, MLS, NCAA Building the first fly pack broadcast system transportable by air - bringing the 2022 World Cup and Super Bowl into homes across the world Engineering the first high-density pixel canvas to display HD content at that scale for the Vornado, Marriott Marquis LED Display in Times Square, NY Empowering and monitoring communication and collaboration solutions within multi-national companies around the globe Founded in 1993, we're a global organization serving local needs with associates worldwide. Learn more at and follow us on LinkedIn and Twitter. What part will you play? The Critical Infrastructure Design Engineer (DE) is a member of the core team for each mission critical project. Alone on small projects or part of a team of engineers on large projects the DE provides technical direction and insight through the project life cycle from sales concept to design development, construction drawings to implementation support. As a technical authority on a project team, the DE is instrumental in the determination and execution of client and system requirements and will collaborate with Workplace teams as required. Reporting to a Senior Manager of Project Engineering, the DE's project assignments are determined by Operations Leadership based on enterprise-wide demands. At times, the DE will be supervised and receive specific task assignments by Senior Design Engineers or Project Managers. What will you be doing? Participate in complex engagements and works directly with clients and project teams to develop budgets, timelines and manage expectations. Negotiates changes in deliverables and schedules and is an escalation point for engineering issues. Conceptualize system designs and determine system requirements. Provide technical expertise and coordination information to support the base building tasks performed by others, and planning of audio, video, and control solutions. Oversee and/or develop the Bill of Materials (BOM) and supporting documentation. Employ detailed design documentation strategy based on established engineering standard practices. Track engineering team tasks to completion. Generate functional system descriptions, complete block wiring diagrams, rack elevations, custom plates, or cabling assemblies. Research, identify, and recommend technology options for clients and projects. Provide technical expertise for the creation of and later reconciliation of the complete construction drawing package, support documentation for installation and coordination with architect and other trades. Support testing, configuration and commission efforts during project deployment. Support projects and teams in build phase. Complete the as-built drawings for service hand-over. Provide regular project engineering milestone status updates to Technical Operations resource planning. Develop expertise in the industry through ongoing review of trade publications, participation in industry trade shows, seminars, and educational forums. Complexity: The Design Engineer works with their Senior Manager, the Technical Operations Directors on resource assignment. This role is primarily billable in a post-sales capacity, though there are times when the DE will work on presales estimation and design efforts. Decision Making Authority: As the final sign off on project bill of materials prior to purchasing, the DE is responsible for vetting products and making detailed equipment decisions. As a technical leader on a project, the DE has the authority to recommend direction on scope changes and programming and commissioning activities. Physical Demands: The physical demands described here are representative of those that may be met by an employee. Work will normally be performed within normal office conditions and/or home office depending on location of SDE. On occasions the employee may be exposed to construction site conditions. Employee will be responsible for their safety while on job sites and to comply with all safety regulations in place by the GC. Use of PPE is usually required on job sites. The employee can typically spend at least 50% of their time on their feet and be required to mobile around the construction site. The individual is regularly required to use keyboards, test equipment and controls required for SI installation projects. Specific vision abilities required for this job include close vision, distance vision, peripheral vision, and depth vision. Travel: Occasional travel by car and occasional airplane trips are required. Employee must possess a valid driver's license in their state of residence. Employee will be required to use their own vehicle and will be reimbursed for mileage at the current corporate rate. Local travel to job sites (within a 2-hour driving distance each way) will make up 90% usually but remote travel can be up to 30% of the job at times. What do we require from you? Education/Certifications: High school diploma or GED required Associate/Bachelor degree and/or equivalent experience required AVIXA CTS certification required AVIXA CTS-D is preferred Network Certifications desired: CompTIA Network +, Security +, Cisco CCNA, Extreme Networks ECA Certifications or training desired with at least one DSP platform (Biamp, QSC, Clearone, etc.) Certifications or training desired with at least one control system (Crestron, Extron, AMX, etc.) Certifications or training desired with at least one Manufacturer Ecosystem (Barco, Vuwall, Haivision, QSC, BIAMP) Certifications or training desired with at least one collaboration platform (Zoom, Webex, Teams, etc.) Proficient in AutoCAD and MS Office 365 Other relevant certifications may be required or preferred based on the technology focus of the position. Required/Desired Knowledge, Experience and Skills: TS/SCI Security Clearance (Full Scope Poly) - Must be able to obtain within first 6 months 5+ years of experience with control room/operations center 3+ years of experience with IP Networking , to include TCP/IP routing, ACL's, QoS, VLANs, PoE calculations, Multicasting, PIM SM/DM, IGMP, SNMP, SSO and Security Certificates 1+ years of experience with enterprise-level security and networking design and configuration (physical and topology, IP structures and ranges, switch interconnectivity, VLAN, bandwidth calculation) 1+ years of experience with Barco devices and control room software ( UniSee, TransForm N / CMS , etc.) Proven track record of successfully completing engineering projects. A clear understanding of project phases, to include Presales, Program, Conceptualization, Schematic Design, Design Development, Construction Documentation, Construction, and Commissioning. A thorough, demonstrable understanding of the construction process, installation, and integration as well as architectural and construction drawings and specifications. Detail oriented, independent, self-starter Exceptional time management skills with a track record for meeting deadlines. Excellent communication skills. Ability to analyze complex issues and communicate concise succinct messages. Analytical thinking, and high level of problems solving and technical troubleshooting skills. To learn more about becoming part of the Diversified team, visit us at or email us at . Diversified is an equal employment opportunity employer and all aspects of employment will be based on job requirements, individual qualifications, merit, performance and business needs. We provide equal employment opportunities to all employees and applicants without regard to race, color, religion, national origin, gender, sexual orientation, gender identity, veteran status, age, disability or genetic information, or any other applicable characteristic protected under federal, state or local law. We celebrate diversity and encourage people of all backgrounds to apply for available positions. Individuals needing assistance or an accommodation to complete an application due to a disability, may contact Human Resources at . Other details Pay Type Salary Min Hiring Rate $130,000.00 Max Hiring Rate $150,000.00 Travel Required Yes Travel % 40 Telecommute % 60
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
04/17/2024
Full time
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
Job Overview: Are you passionate about shaping the future of chip design? In the Solutions Engineering group at Arm, we offer the outstanding opportunity for an experienced Power Analysis Engineer to join our successful team in a dynamic and diverse role! Arm is establishing a team to develop best-in-class silicon platforms based on Arm's IP Compute Subsystem solutions, addressing markets such as premium mobile, infrastructure, and automotive. Arm's ambition is to demonstrate efficient performance by architecting, designing, implementing, and fabricating pioneering silicon test chips using the latest SoC process nodes and packaging technologies. This is an exciting and unique initiative, where we are driving how the next generation of leading compute devices are built across the industry. Join Arm to be part of the solution! Responsibilities: You will join a highly focused group where we analyze and optimize the power of our next generation compute solutions using innovative technologies, methodologies and tools. Analyze the power efficiency of SoC design features from early estimation to final product validation. Developing and running RTL simulator and emulator based workloads to analyze the power of the hardware design. Taking pre-silicon design power measurements throughout the SoC development cycle from early modeling, RTL analysis, to in-depth timing annotated netlist analysis. Analysis engineers collaborate with multiple teams from SoC Architecture, Performance Analysis, Microarchitecture Design, to Physical design to develop and analyze real software use-cases and the physical hardware. Building relevant metrics along with visualization to demonstrate the hardware power signature and capabilities of the compute subsystems. Reviewing the quality and accuracy of data produced by the latest EDA power analysis tool flows. Continuously innovating by improving the power analysis methodologies used by the team. Required Skills and Experience : We are seeking experienced engineers for a multi-disciplinary role in power analysis. Ideal candidates have past experience in power analysis or are motivated engineers with valuable transferable skills from design, implementation, or verification backgrounds. Skilled in performing power modeling or pre-silicon power analysis flows. Experience with low power design features and techniques, including clock and power gating, voltage/frequency scaling, memory/logic retention. Ability to understand and balance trade-offs between power, performance, and area. Familiar with developing RTL using Verilog, System Verilog, or VHDL. Knowledge of Physical Implementation flow from RTL through Synthesis, Place & Route to STA. "Nice To Have" Skills and Experience : A background in development based on Arm processor based SoC system designs. A Bachelor's (BS), Master's (MS/MSc), or equivalent degree in Electronics, Electrical, or Computer Engineering. Candidates with other degrees will be considered if they have relevant work experience. Development or analysis of CPU or Graphics benchmarks for PPA analysis. Experience using tools for power analysis, power delivery and signoff. (e.g. PowerPro, PrimePower, Redhawk, etc) Background in running simulation/emulation tools. (e.g. VCS, Questasim, Incisive, Veloce Strato, Palladium, Zebu, etc) Good understanding of the concepts and tools related to synthesis, place & route, clock tree synthesis, constraint development, timing closure. (e.g. Innovus, Tempus, etc) In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
04/17/2024
Full time
Job Overview: Are you passionate about shaping the future of chip design? In the Solutions Engineering group at Arm, we offer the outstanding opportunity for an experienced Power Analysis Engineer to join our successful team in a dynamic and diverse role! Arm is establishing a team to develop best-in-class silicon platforms based on Arm's IP Compute Subsystem solutions, addressing markets such as premium mobile, infrastructure, and automotive. Arm's ambition is to demonstrate efficient performance by architecting, designing, implementing, and fabricating pioneering silicon test chips using the latest SoC process nodes and packaging technologies. This is an exciting and unique initiative, where we are driving how the next generation of leading compute devices are built across the industry. Join Arm to be part of the solution! Responsibilities: You will join a highly focused group where we analyze and optimize the power of our next generation compute solutions using innovative technologies, methodologies and tools. Analyze the power efficiency of SoC design features from early estimation to final product validation. Developing and running RTL simulator and emulator based workloads to analyze the power of the hardware design. Taking pre-silicon design power measurements throughout the SoC development cycle from early modeling, RTL analysis, to in-depth timing annotated netlist analysis. Analysis engineers collaborate with multiple teams from SoC Architecture, Performance Analysis, Microarchitecture Design, to Physical design to develop and analyze real software use-cases and the physical hardware. Building relevant metrics along with visualization to demonstrate the hardware power signature and capabilities of the compute subsystems. Reviewing the quality and accuracy of data produced by the latest EDA power analysis tool flows. Continuously innovating by improving the power analysis methodologies used by the team. Required Skills and Experience : We are seeking experienced engineers for a multi-disciplinary role in power analysis. Ideal candidates have past experience in power analysis or are motivated engineers with valuable transferable skills from design, implementation, or verification backgrounds. Skilled in performing power modeling or pre-silicon power analysis flows. Experience with low power design features and techniques, including clock and power gating, voltage/frequency scaling, memory/logic retention. Ability to understand and balance trade-offs between power, performance, and area. Familiar with developing RTL using Verilog, System Verilog, or VHDL. Knowledge of Physical Implementation flow from RTL through Synthesis, Place & Route to STA. "Nice To Have" Skills and Experience : A background in development based on Arm processor based SoC system designs. A Bachelor's (BS), Master's (MS/MSc), or equivalent degree in Electronics, Electrical, or Computer Engineering. Candidates with other degrees will be considered if they have relevant work experience. Development or analysis of CPU or Graphics benchmarks for PPA analysis. Experience using tools for power analysis, power delivery and signoff. (e.g. PowerPro, PrimePower, Redhawk, etc) Background in running simulation/emulation tools. (e.g. VCS, Questasim, Incisive, Veloce Strato, Palladium, Zebu, etc) Good understanding of the concepts and tools related to synthesis, place & route, clock tree synthesis, constraint development, timing closure. (e.g. Innovus, Tempus, etc) In Return: We are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together. These behaviors are assessed as part of the hiring process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
04/17/2024
Full time
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
04/17/2024
Full time
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
04/17/2024
Full time
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
04/17/2024
Full time
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
Beacon Hill Staffing Group, LLC
Charlotte, North Carolina
Commercial Assistant Property Manager - 50K - 60K The Assistant Property Manager will assist the Property Manager and Senior Property Manager in the management and supervision of property management services. The main areas of responsibility will be day to day oversight and support of all property management, property administration and tenant service requests including supervision of third-party service vendors providing commercial property management services. What you'll be responsible for: Operations and Vendor Services Administration Assist the Property Manager in the day-to-day supervision and administration of the following service vendors, including but not limited to the following. Janitorial services: Daily and nightly routine services, carpet cleaning, and any specialty cleaning. This includes weekly building inspections before and after normal business hours. Elevator and escalator systems: Assist the Property Manager in conducting routine evaluations of the performance of the systems, follow up on outstanding preventative maintenance tasks, follow up on annual elevator audits to ensure vertical transportation quality and that all installation and operation of elevators and escalators are compliant with state regulatory codes. Interior and exterior stone: Metal and wood maintenance. Waste management and recycling programs: Keep detail records of diversion rates. Pest control: Ensure that services, practices, and treatments are rendered in a sustainable and responsible manner. Interior and exterior landscaping: Conduct routine inspections and oversee installations of seasonal color. Service Contract and Claims Administration Monitor, at the direction of Property Manager, the scope and terms of all service contracts to ensure agreements are current and reflect actual practices. Launch contract related workflows. Assist, as directed, in the reporting, communication, and documentation of all insurance claims. Track Incident Reports/End of Shift Reports in the security related Perspective database and follow up on reported operational issues. Coordinate, as directed, with property management, engineering, and security teams to collect information on incidents or claims and follow up as needed to resolve claims. Assist in drafting agreements as requested and directed by Property Manager or Senior Property Manager. Building Inspections and Help Desk Support Conduct daily inspections of primary common areas including the building lobbies, exterior plazas, and main levels of the parking garage to ensure these areas are maintained to the highest levels of cleanliness, maintenance, and temperature control. Submit work order requests for any deficiencies observed during routine and one-off inspections. Follow up on work orders as needed to verify completion of items. Review Work Order reports at the end of business day and check on any outstanding items to ensure compliance and client satisfaction. Project Management and Administration Assist Property Manager or Senior Property Manager as directed in the following areas of project management, building construction projects, and tenant upfits. Core duties include the following: Maintain proper documentation of all construction activity, including construction drawings, tenant correspondence, contracts, and related purchase orders, permits and certificates of occupancy. Building Information and Database Management Manage paper files, including current and archived leasing files, project files, and building drawings to minimize file size and to ensure conformity to established filing processes. Assist the Property Manager as directed in their role as SharePoint Site Administrator. Financial Administration Assist in the preparation of building operating expense budget and capital budget. Serve as coder in the accounts payable/receivable processing to include the creation of purchase orders that accurately conform to the terms of existing service or project agreements or proposals, ensure proper coding of purchase orders, and monitor budget variances. Adhere to all deadlines and accounting principles. Assist in monthly budget variance analysis and forecasting duties. Assist with accounts payable process in accordance with divisional and corporate guidelines. Tenant Relations & Lease Review Serve as main point of contact for any requests or questions by tenants related to Building Amenity Center. Proactively respond to all requests. Have a thorough knowledge of all audio visual equipment, rules & regulations, and any billable charges related to space. Coordinate amenity spaces for tenants. Help plan, as requested, any building managed or tenant managed events in space. Proactively inspect tenant and common areas to ensure highest quality of service delivery by PM team; serve as point person to resolve all requests and complaints as quickly as possible. Assist the leasing team, when directed by the Senior Property Manager, in marketing the property to prospective tenants, including preparing vacant spaces to show and enhance common areas and amenities. Assist with the review, interpretation, and enforcement of tenant leases. Read all leases to understand specific obligations of the landlord and each tenant. Assist the Accounting Department as needed, by checking lease terms as reflected in rent roll and Accounts Receivable, and with the collection and review of Certificates of Insurance. Assist Accounting Department in keeping all stacking plans current and accurate. Establish and maintain relationship with primary tenant contacts and work to resolve complaints as needed. Participate, and take lead as directed, on tenant relations activities, events, information flyers and meetings as needed. Coordinate with other building departments including Engineering, Administration, Accounting, Security, and Parking to ensure information is shared and activities are properly coordinated. What we need from you: A Bachelor's Degree in real estate, business, construction, or a related field from an accredited college or university is preferred. One to five years or more of professional commercial real estate experience, preferably in a commercial real estate management environment, or an equivalent combination of education and experience. The following credentials are desired for this position: RPA or CPM designation; LEED Accredited Professional (AP) or Green Associate (GA); North Carolina Real Estate Broker's license. Candidates are not required to possess such credentials as a condition of hire but must be willing to pursue these as part of the training required for the position. Membership in BOMA or IREM is encouraged. Must have a high degree of proficiency in computer technology and applications typically used in real estate management such Microsoft Office Suite, accounting software, work order systems, and other technology tools. Experience with Yardi Accounting Software preferred. Must have a solid grasp of financial and mathematical practices commonly deployed in the real estate industry such as budgeting, variance reporting, capitalization, amortization, BOMA space measurement, commercial operating expense gross ups, rent allocations, and other mathematical concepts involving addition, subtraction, division, multiplication, fractions, percentages, ratios, and proportions. Must have excellent communication skills, both verbal and written. Must have strong teamwork skills and be able to motivate others, instill confidence in teammates and customers alike, and provide corrective direction effectively. Ability to effectively communicate with superiors, vendors, tenants, various property management personnel and the public. Ability to read, write, analyze, and interpret contracts, leases, construction drawings, financial reports, and legal documents. Must have a superior grasp of the English language and be able to use and apply advanced language principals involving grammar and punctuation. What we have to offer: Medical, Dental, & Vision Insurance Flex Spending & Dependent Care Accounts 401(k) Retirement Savings Plan Paid Vacation & Holidays Paid Leave of Absence Options Paid Maternity & Paternity Leave Tuition Reimbursement Employee Assistance Program Employee Wellness Program Gym Membership Discounts Mentorship Programs Beacon Hill is an Equal Opportunity Employer that values the strength diversity brings to the workplace. Individuals with Disabilities and Protected Veterans are encouraged to apply. If you would like to complete our voluntary self-identification form, please click here or copy and paste the following link into an open window in your browser: Completion of this form is voluntary and will not affect your opportunity for employment, or the terms or conditions of your employment. This form will be used for reporting purposes only and will be kept separate from all other records. Company Profile: Founded by industry leaders to set a new standard in search, career placement and flexible staffing, we deliver coordinated staffing solutions with unparalleled service, a commitment to project completion and success and a passion for innovation, creativity and continuous improvement click apply for full job details
04/17/2024
Full time
Commercial Assistant Property Manager - 50K - 60K The Assistant Property Manager will assist the Property Manager and Senior Property Manager in the management and supervision of property management services. The main areas of responsibility will be day to day oversight and support of all property management, property administration and tenant service requests including supervision of third-party service vendors providing commercial property management services. What you'll be responsible for: Operations and Vendor Services Administration Assist the Property Manager in the day-to-day supervision and administration of the following service vendors, including but not limited to the following. Janitorial services: Daily and nightly routine services, carpet cleaning, and any specialty cleaning. This includes weekly building inspections before and after normal business hours. Elevator and escalator systems: Assist the Property Manager in conducting routine evaluations of the performance of the systems, follow up on outstanding preventative maintenance tasks, follow up on annual elevator audits to ensure vertical transportation quality and that all installation and operation of elevators and escalators are compliant with state regulatory codes. Interior and exterior stone: Metal and wood maintenance. Waste management and recycling programs: Keep detail records of diversion rates. Pest control: Ensure that services, practices, and treatments are rendered in a sustainable and responsible manner. Interior and exterior landscaping: Conduct routine inspections and oversee installations of seasonal color. Service Contract and Claims Administration Monitor, at the direction of Property Manager, the scope and terms of all service contracts to ensure agreements are current and reflect actual practices. Launch contract related workflows. Assist, as directed, in the reporting, communication, and documentation of all insurance claims. Track Incident Reports/End of Shift Reports in the security related Perspective database and follow up on reported operational issues. Coordinate, as directed, with property management, engineering, and security teams to collect information on incidents or claims and follow up as needed to resolve claims. Assist in drafting agreements as requested and directed by Property Manager or Senior Property Manager. Building Inspections and Help Desk Support Conduct daily inspections of primary common areas including the building lobbies, exterior plazas, and main levels of the parking garage to ensure these areas are maintained to the highest levels of cleanliness, maintenance, and temperature control. Submit work order requests for any deficiencies observed during routine and one-off inspections. Follow up on work orders as needed to verify completion of items. Review Work Order reports at the end of business day and check on any outstanding items to ensure compliance and client satisfaction. Project Management and Administration Assist Property Manager or Senior Property Manager as directed in the following areas of project management, building construction projects, and tenant upfits. Core duties include the following: Maintain proper documentation of all construction activity, including construction drawings, tenant correspondence, contracts, and related purchase orders, permits and certificates of occupancy. Building Information and Database Management Manage paper files, including current and archived leasing files, project files, and building drawings to minimize file size and to ensure conformity to established filing processes. Assist the Property Manager as directed in their role as SharePoint Site Administrator. Financial Administration Assist in the preparation of building operating expense budget and capital budget. Serve as coder in the accounts payable/receivable processing to include the creation of purchase orders that accurately conform to the terms of existing service or project agreements or proposals, ensure proper coding of purchase orders, and monitor budget variances. Adhere to all deadlines and accounting principles. Assist in monthly budget variance analysis and forecasting duties. Assist with accounts payable process in accordance with divisional and corporate guidelines. Tenant Relations & Lease Review Serve as main point of contact for any requests or questions by tenants related to Building Amenity Center. Proactively respond to all requests. Have a thorough knowledge of all audio visual equipment, rules & regulations, and any billable charges related to space. Coordinate amenity spaces for tenants. Help plan, as requested, any building managed or tenant managed events in space. Proactively inspect tenant and common areas to ensure highest quality of service delivery by PM team; serve as point person to resolve all requests and complaints as quickly as possible. Assist the leasing team, when directed by the Senior Property Manager, in marketing the property to prospective tenants, including preparing vacant spaces to show and enhance common areas and amenities. Assist with the review, interpretation, and enforcement of tenant leases. Read all leases to understand specific obligations of the landlord and each tenant. Assist the Accounting Department as needed, by checking lease terms as reflected in rent roll and Accounts Receivable, and with the collection and review of Certificates of Insurance. Assist Accounting Department in keeping all stacking plans current and accurate. Establish and maintain relationship with primary tenant contacts and work to resolve complaints as needed. Participate, and take lead as directed, on tenant relations activities, events, information flyers and meetings as needed. Coordinate with other building departments including Engineering, Administration, Accounting, Security, and Parking to ensure information is shared and activities are properly coordinated. What we need from you: A Bachelor's Degree in real estate, business, construction, or a related field from an accredited college or university is preferred. One to five years or more of professional commercial real estate experience, preferably in a commercial real estate management environment, or an equivalent combination of education and experience. The following credentials are desired for this position: RPA or CPM designation; LEED Accredited Professional (AP) or Green Associate (GA); North Carolina Real Estate Broker's license. Candidates are not required to possess such credentials as a condition of hire but must be willing to pursue these as part of the training required for the position. Membership in BOMA or IREM is encouraged. Must have a high degree of proficiency in computer technology and applications typically used in real estate management such Microsoft Office Suite, accounting software, work order systems, and other technology tools. Experience with Yardi Accounting Software preferred. Must have a solid grasp of financial and mathematical practices commonly deployed in the real estate industry such as budgeting, variance reporting, capitalization, amortization, BOMA space measurement, commercial operating expense gross ups, rent allocations, and other mathematical concepts involving addition, subtraction, division, multiplication, fractions, percentages, ratios, and proportions. Must have excellent communication skills, both verbal and written. Must have strong teamwork skills and be able to motivate others, instill confidence in teammates and customers alike, and provide corrective direction effectively. Ability to effectively communicate with superiors, vendors, tenants, various property management personnel and the public. Ability to read, write, analyze, and interpret contracts, leases, construction drawings, financial reports, and legal documents. Must have a superior grasp of the English language and be able to use and apply advanced language principals involving grammar and punctuation. What we have to offer: Medical, Dental, & Vision Insurance Flex Spending & Dependent Care Accounts 401(k) Retirement Savings Plan Paid Vacation & Holidays Paid Leave of Absence Options Paid Maternity & Paternity Leave Tuition Reimbursement Employee Assistance Program Employee Wellness Program Gym Membership Discounts Mentorship Programs Beacon Hill is an Equal Opportunity Employer that values the strength diversity brings to the workplace. Individuals with Disabilities and Protected Veterans are encouraged to apply. If you would like to complete our voluntary self-identification form, please click here or copy and paste the following link into an open window in your browser: Completion of this form is voluntary and will not affect your opportunity for employment, or the terms or conditions of your employment. This form will be used for reporting purposes only and will be kept separate from all other records. Company Profile: Founded by industry leaders to set a new standard in search, career placement and flexible staffing, we deliver coordinated staffing solutions with unparalleled service, a commitment to project completion and success and a passion for innovation, creativity and continuous improvement click apply for full job details
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
04/17/2024
Full time
Description Leidos is seeking a Licensed Senior Civil/Structural Engineer with 6+ years of experience with a utility consulting company doing design engineering and reviews. The candidate must have a strong educational background in design of steel structures, concrete structures, geotechnical engineering, and foundations. Experience reviewing substation structure design, rigid bus design, foundation design, oil spill containment design, retaining walls, masonry control shelters, and fire-walls is required. This position will consist of performing design reviews of the aforementioned civil/structural design components in support of the development of bid/construction packages for substation projects. Candidate will primarily function as a senior engineer performing design reviews on a regular basis, as well as developing junior staff members, and contributing to bids and proposal development. This role will also consist of taking a responsible engineer role on main client projects, overseeing the work and ensuring quality control on design packages. Site-visits to client substation facilities are expected to be part of the work, along with occasional travel for client meetings and industry related events. Experience in front-facing client operations such as lunch and learns, and kick-off meetings if preferred. Candidate must comply with quality control and safe work practices and maintain compliance with project scope, schedule and budget expectations. This position will be a senior member of a design team comprised of engineers, designers, and drafting technicians. This position will ideally be staffed at the Leidos office in Framingham, Massachusetts. However, the following locations will also be considered for this position. Hybrid or fully remote may be considered here. Orlando, Florida Chicago, Illinois Charlotte, North Carolina Required Qualifications Bachelors of Science (BS) degree in Civil-Structural Engineering PE Licensure Experience with Microsoft Word/Excel/PowerPoint & MATHCAD software Experience with STAAD.Pro, RISA 3D, FAD Tools, SAFE, SAG10, and LPILE software Familiarity with AutoCAD and MicroStation Strong analytical and technical writing skills Team player with ability to communicate with clients and staff Willingness to travel, up to 25% Grow with us! Through training, teamwork, and exposure to challenging technical work, let Leidos show you how to accelerate your career path. Apply today! PDSTLINE PowerDelivery Original Posting Date: 2024-04-16 While subject to change based on business needs, Leidos reasonably anticipates that this job requisition will remain open for at least 3 days with an anticipated close date of no earlier than 3 days after the original posting date as listed above. Pay Range: Pay Range $68,900.00 - $124,550.00 The Leidos pay range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law.
Job Overview: SoC Architect is a technical role responsible for architecting and designing high-volume, sophisticated, SoC platforms on groundbreaking nodes across multiple market segments including mobile, automotive, datacenter and networking, and IoT. This position plays a meaningful role in the development of production-quality silicon with outstanding performance and power efficiency, both in partnership with Arm partners and producing Arm development silicon. Responsibilities: In this role, the successful candidate is encouraged to: Design and develop Arm's SoC architecture based on Arm product IP and system architecture to meet the requirements of best-in-class compute across our market segments. Work proactively across Arm's architecture and core technology teams to ensure a roadmap of architecture platforms and technology feature development supporting the needs of leading edge SoCs Collaborate with a team of SoC architects with the expertise to leave no stone unturned in the quest to design world-leading SoCs. Ability to scale across multiple partners and deliver multiple engagements in parallel. Collaborate with core, technology and software teams to optimize the end-to-end platform solutions Participate in identifying the key use cases and workloads showcasing the best of Arm IP/platform Work with engineering teams across Arm to coordinate on-time delivery of Arm IP into SoCs, and work together to ensure that what we learn from SoC development shapes how we develop future IP. Drive innovation and continuous improvement in SoC architecture staying up to date on industry trend and new technologies Participate and drive partner/customer specific architecture discussions. Bring specifications to a mature stage allowing design and implementation teams to engage actively Required Skills and Experience : Significant experience in architecting scalable SoCs on leading edge nodes across multiple market segments Expertise in multiple of the following areas: heterogeneous compute architectures, power management, clocking, coherent and non-coherent interconnect, PCIe/CXL, multi-level caching architecture, functional profiling & debug, security and access control, virtualization, memory hierarchies, sophisticated 2.5D/3D packaging, performance / power modeling & estimation, soft real-time accelerators, DRAM memory technologies. Excellent presentation, interpersonal and communication skills. Able to present at executive level inside and outside of Arm. Bachelor's or Master's degrees in Electrical or Computer Engineering and 10 years of experience in a senior development or leadership position within semiconductor industry Ability to influence at all levels, across engineering and business organizations internal and external to Arm, in their peer group, across execution, strategy and change. "Nice To Have" Skills and Experience : Ability to work optimally in a fast-paced environment with changing priorities and requirements Prepared to challenge the status quo constructively at senior level Proven track record of timely, to-specification delivery of multiple sophisticated projects in parallel In Return: To join a newly forming Solution Engineering SoC architecture team with significant opportunities to impact the shape, definition, and culture of the team and help build our future success! This will be a fast paced and exciting environment with opportunities to demonstrate your strategic and innovative thinking while directly chipping in to current projects. Arm is proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work collaboratively to defy ordinary and shape extraordinary! Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
04/17/2024
Full time
Job Overview: SoC Architect is a technical role responsible for architecting and designing high-volume, sophisticated, SoC platforms on groundbreaking nodes across multiple market segments including mobile, automotive, datacenter and networking, and IoT. This position plays a meaningful role in the development of production-quality silicon with outstanding performance and power efficiency, both in partnership with Arm partners and producing Arm development silicon. Responsibilities: In this role, the successful candidate is encouraged to: Design and develop Arm's SoC architecture based on Arm product IP and system architecture to meet the requirements of best-in-class compute across our market segments. Work proactively across Arm's architecture and core technology teams to ensure a roadmap of architecture platforms and technology feature development supporting the needs of leading edge SoCs Collaborate with a team of SoC architects with the expertise to leave no stone unturned in the quest to design world-leading SoCs. Ability to scale across multiple partners and deliver multiple engagements in parallel. Collaborate with core, technology and software teams to optimize the end-to-end platform solutions Participate in identifying the key use cases and workloads showcasing the best of Arm IP/platform Work with engineering teams across Arm to coordinate on-time delivery of Arm IP into SoCs, and work together to ensure that what we learn from SoC development shapes how we develop future IP. Drive innovation and continuous improvement in SoC architecture staying up to date on industry trend and new technologies Participate and drive partner/customer specific architecture discussions. Bring specifications to a mature stage allowing design and implementation teams to engage actively Required Skills and Experience : Significant experience in architecting scalable SoCs on leading edge nodes across multiple market segments Expertise in multiple of the following areas: heterogeneous compute architectures, power management, clocking, coherent and non-coherent interconnect, PCIe/CXL, multi-level caching architecture, functional profiling & debug, security and access control, virtualization, memory hierarchies, sophisticated 2.5D/3D packaging, performance / power modeling & estimation, soft real-time accelerators, DRAM memory technologies. Excellent presentation, interpersonal and communication skills. Able to present at executive level inside and outside of Arm. Bachelor's or Master's degrees in Electrical or Computer Engineering and 10 years of experience in a senior development or leadership position within semiconductor industry Ability to influence at all levels, across engineering and business organizations internal and external to Arm, in their peer group, across execution, strategy and change. "Nice To Have" Skills and Experience : Ability to work optimally in a fast-paced environment with changing priorities and requirements Prepared to challenge the status quo constructively at senior level Proven track record of timely, to-specification delivery of multiple sophisticated projects in parallel In Return: To join a newly forming Solution Engineering SoC architecture team with significant opportunities to impact the shape, definition, and culture of the team and help build our future success! This will be a fast paced and exciting environment with opportunities to demonstrate your strategic and innovative thinking while directly chipping in to current projects. Arm is proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work collaboratively to defy ordinary and shape extraordinary! Partner and customer focus Collaboration and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Overview Embrace the change, be the change. Join BNY Mellon's enterprise-wide strategic initiative to transform into a more agile, cross-functional, future-oriented firm. Be part of a highly skilled and experienced group whose mission is to instill an agile mindset and industry-leading techniques across the company. Work directly with cross-functional teams and senior leaders to identify opportunities to deliver high quality business outcomes at a faster pace than ever before. Engage daily with teams to overcome roadblocks and implement scalable solutions that will improve team and organizational agility alike. Help build better ways of working and by doing so, build your professional experience through constant collaboration with teams and businesses supporting diverse clients across the globe. Responsibilities for senior scrum master • Empower teams to self-organize and facilitate decision making during sprint planning sessions ensuring the commitments made are appropriate and uphold scrum principles. • Coach and mentor the team on agile practices and principles to deliver client value in increments that meet the definition of done. • Collaborate with agile roles in backlog grooming, capacity planning, and PI planning with a view to supporting agile execution of the portfolio / program / project. • Conduct and lead all scrum ceremonies on a planned cadence to ensure they are productive and timeboxed. • Maintain an in-depth knowledge of product and delivery lifecycles, standards, and support related processes and practices. • Collaborate with the Product Owner to understand the business priorities in upcoming sprints and able to impact beyond area of responsibility. • Update agile tracking systems to provide transparency on Product & Backlogs • Ensures team maintains focus on quality and continuous delivery of business value. • Participates in daily Scrum of Scrums (S2) meeting and clearly communicates team accomplishments/impediments. • Leveraging data to measuring & monitoring progress against commitments • Escalating risks and resolving impediments to help reduce delivery cycle times. • Utilizes team feedback and metrics to drive a culture of continuous improvement. Qualifications for senior scrum master • Experience working with scrum and kanban along with scaled agile frameworks in medium to large organizations. • Experience change agent skilled at resolving conflict, and driving consensus with multiple stakeholders. • Demonstrated experience overseeing multi-functional and multi-location teams on complex, integrated platforms • Adept at refinement, story splitting, sizing, velocity tracking, retrospection, and other Scrum techniques • Experience using Scrum / Agile tools and MS Office applications. • Excellent oral and written communication skills • Preferred Bachelor's Degree in Information Systems, Computer Science, Business Administration, or Management required • Financial domain knowledge preferred in the Wealth Management or Asset Management space. The Scrum Leader is accountable for establishing and maintaining Scrum, facilitating understanding of Scrum theory and practice, both within the Scrum Team and the organization. The Scrum Leader serves the Scrum Team (Team) by: Causing removals of impediments to the Team's progress; Ensuring that all Scrum events take place and are positive, productive, and kept within the timebox; Helping Teams focus on creating high-value Increments that meet the Definition of Done; Coaching Teams in self-management and cross-functionality. The Scrum Leader serves the Product Owner by: facilitating stakeholder collaboration as requested or needed; Providing techniques for effective product goal definition and backlog management; and Helping establish empirical product planning for complex environments. The Scrum Leader serves the Organization by: Helping employees and stakeholders understand and enact an empirical approach for complex work; Removing barriers between stakeholders and Scrum Teams. Primary responsibilities include: Ensuring Scrum principles and practices are followed, Scrum team events occur, are productive and kept within timeboxes. Removing impediments identified by Teams. Tracking and resolving team dependencies. Ensuring Teams' effectiveness in using Scrum: responsible for enabling programs to meet their expected outcomes by supporting them in problem identification, root cause analysis, and decision-making. Facilitating and guiding Teams to deliver expected outcomes with high quality. Helping Teams focus on creating increments of value for each iteration and achieving daily and Iteration goals in the context of current program objectives. Using Data driven approaches to help Teams eliminate waste, improve productivity and improve team performance. Working with Portfolio and Product leaders to manage the program backlog and guide the program while facilitating a healthy team dynamic with respect to priorities and scope. Ensuring quality of agile practices within their teams' expertise. Facilitates Team level events as well as Big Room Planning and program level events and readiness. Helps Teams come up with Iteration Goals and PI objectives. Aggregate team objectives into Program Objectives. Fosters innovation and implementation of strategic solutions within regional/global, large programs. Helps in managing and optimizing the value delivery flow through the program/Business domain within a line of business. Guides and coaches one or more programs on how to use agile practices and principles to deliver high quality products and services to our customers. Ensures enterprise project and program lifecycle, activities and artifacts are managed to completion, where applicable. May oversee and coach less experienced Scrum Leaders. Gets teams within programs to high performing levels by recognizing areas of strength and improvement and employing appropriate coaching and development techniques. Teaches problem solving techniques and helps the team become better problem-solvers for themselves. Helps the teams within a program improve and take responsibility for their actions; facilitates the problem-solving workshop. Helps the Product Owner in their efforts to manage the backlog and guide the team while facilitating a healthy team dynamic with respect to priorities and scope. Contributes to the achievement of related area objectives. Relevant competencies: Agile Leadership-Advanced / Agile Methodologies-Advanced / Business Process Improvement-Intermediate/ Cross Functional Team Leadership-Intermediate / Kanban- Advanced / Lean-Advanced / Requirements Analysis-Beginner / Requirements Gathering-Beginner / Scaled Frameworks-Advanced / Scrum-Expert / Servant Leadership-Advanced / Written and Oral Communication-Advanced Modified based upon local regulations/requirements. Bachelor's degree or the equivalent combination of education and experience is required. 6-8 years of relevant experience in similar role. Previous technical experience is preferred. Experience in Scrum Master role for software development team for large initiatives. Knowledge of various Agile approaches: Scrum, SAFe, XP, Kanban, Spotify, etc. Awareness and experience with widely successful Agile techniques: User Stories, ATDD, TDD, Continuous Integration, Continuous Testing, Pairing, Automated Testing, Agile Games. Knowledge and experience in the use of tools such as: Jira, Confluence etc. Ability to learn new technology quickly, demonstrated by experience in an engineering environment. Ability to take ambiguous topics and translate into actionable plans working with multiple business, operational and technical stakeholders. Excellent communication and mentoring skills. Preferred to have Agile certifications including CSM, PSM, SAFe SSM. Talent for driving change. Ability to drive consensus and resolve conflicts across multiple parties. Strong problem-solving skills and knowledge of Agile methods, User Story writing, and prioritization techniques. BNY Mellon is an Equal Employment Opportunity/Affirmative Action Employer. Minorities/Females/Individuals with Disabilities/Protected Veterans. Our ambition is to build the best global team-one that is representative and inclusive of the diverse talent, clients and communities we work with and serve-and to empower our team to do their best work. We support wellbeing and a balanced life, and offer a range of family-friendly, inclusive employment policies and employee forums. Employer Description: For over 230 years, the people of BNY Mellon have been at the forefront of finance, expanding the financial markets while supporting investors throughout the investment lifecycle. BNY Mellon can act as a single point of contact for clients looking to create, trade, hold, manage, service, distribute or restructure investments and safeguards nearly one-fifth of the world's financial assets. BNY Mellon remains one of the safest, most trusted and admired companies. Every day our employees make their mark by helping clients better manage and service their financial assets around the world. Whether providing financial services for institutions, corporations or individual investors, clients count on the people of BNY Mellon across time zones and in 35 countries and more than 100 markets. It's the collective ambition, innovative thinking and exceptionally focused client service paired with a commitment to doing what is right that continues to set us apart. Make your mark: bnymellon. EEO Statement: BNY Mellon is an Equal Employment Opportunity/Affirmative Action Employer. Minorities/Females/Individuals With Disabilities/Protected Veterans . click apply for full job details
04/17/2024
Full time
Overview Embrace the change, be the change. Join BNY Mellon's enterprise-wide strategic initiative to transform into a more agile, cross-functional, future-oriented firm. Be part of a highly skilled and experienced group whose mission is to instill an agile mindset and industry-leading techniques across the company. Work directly with cross-functional teams and senior leaders to identify opportunities to deliver high quality business outcomes at a faster pace than ever before. Engage daily with teams to overcome roadblocks and implement scalable solutions that will improve team and organizational agility alike. Help build better ways of working and by doing so, build your professional experience through constant collaboration with teams and businesses supporting diverse clients across the globe. Responsibilities for senior scrum master • Empower teams to self-organize and facilitate decision making during sprint planning sessions ensuring the commitments made are appropriate and uphold scrum principles. • Coach and mentor the team on agile practices and principles to deliver client value in increments that meet the definition of done. • Collaborate with agile roles in backlog grooming, capacity planning, and PI planning with a view to supporting agile execution of the portfolio / program / project. • Conduct and lead all scrum ceremonies on a planned cadence to ensure they are productive and timeboxed. • Maintain an in-depth knowledge of product and delivery lifecycles, standards, and support related processes and practices. • Collaborate with the Product Owner to understand the business priorities in upcoming sprints and able to impact beyond area of responsibility. • Update agile tracking systems to provide transparency on Product & Backlogs • Ensures team maintains focus on quality and continuous delivery of business value. • Participates in daily Scrum of Scrums (S2) meeting and clearly communicates team accomplishments/impediments. • Leveraging data to measuring & monitoring progress against commitments • Escalating risks and resolving impediments to help reduce delivery cycle times. • Utilizes team feedback and metrics to drive a culture of continuous improvement. Qualifications for senior scrum master • Experience working with scrum and kanban along with scaled agile frameworks in medium to large organizations. • Experience change agent skilled at resolving conflict, and driving consensus with multiple stakeholders. • Demonstrated experience overseeing multi-functional and multi-location teams on complex, integrated platforms • Adept at refinement, story splitting, sizing, velocity tracking, retrospection, and other Scrum techniques • Experience using Scrum / Agile tools and MS Office applications. • Excellent oral and written communication skills • Preferred Bachelor's Degree in Information Systems, Computer Science, Business Administration, or Management required • Financial domain knowledge preferred in the Wealth Management or Asset Management space. The Scrum Leader is accountable for establishing and maintaining Scrum, facilitating understanding of Scrum theory and practice, both within the Scrum Team and the organization. The Scrum Leader serves the Scrum Team (Team) by: Causing removals of impediments to the Team's progress; Ensuring that all Scrum events take place and are positive, productive, and kept within the timebox; Helping Teams focus on creating high-value Increments that meet the Definition of Done; Coaching Teams in self-management and cross-functionality. The Scrum Leader serves the Product Owner by: facilitating stakeholder collaboration as requested or needed; Providing techniques for effective product goal definition and backlog management; and Helping establish empirical product planning for complex environments. The Scrum Leader serves the Organization by: Helping employees and stakeholders understand and enact an empirical approach for complex work; Removing barriers between stakeholders and Scrum Teams. Primary responsibilities include: Ensuring Scrum principles and practices are followed, Scrum team events occur, are productive and kept within timeboxes. Removing impediments identified by Teams. Tracking and resolving team dependencies. Ensuring Teams' effectiveness in using Scrum: responsible for enabling programs to meet their expected outcomes by supporting them in problem identification, root cause analysis, and decision-making. Facilitating and guiding Teams to deliver expected outcomes with high quality. Helping Teams focus on creating increments of value for each iteration and achieving daily and Iteration goals in the context of current program objectives. Using Data driven approaches to help Teams eliminate waste, improve productivity and improve team performance. Working with Portfolio and Product leaders to manage the program backlog and guide the program while facilitating a healthy team dynamic with respect to priorities and scope. Ensuring quality of agile practices within their teams' expertise. Facilitates Team level events as well as Big Room Planning and program level events and readiness. Helps Teams come up with Iteration Goals and PI objectives. Aggregate team objectives into Program Objectives. Fosters innovation and implementation of strategic solutions within regional/global, large programs. Helps in managing and optimizing the value delivery flow through the program/Business domain within a line of business. Guides and coaches one or more programs on how to use agile practices and principles to deliver high quality products and services to our customers. Ensures enterprise project and program lifecycle, activities and artifacts are managed to completion, where applicable. May oversee and coach less experienced Scrum Leaders. Gets teams within programs to high performing levels by recognizing areas of strength and improvement and employing appropriate coaching and development techniques. Teaches problem solving techniques and helps the team become better problem-solvers for themselves. Helps the teams within a program improve and take responsibility for their actions; facilitates the problem-solving workshop. Helps the Product Owner in their efforts to manage the backlog and guide the team while facilitating a healthy team dynamic with respect to priorities and scope. Contributes to the achievement of related area objectives. Relevant competencies: Agile Leadership-Advanced / Agile Methodologies-Advanced / Business Process Improvement-Intermediate/ Cross Functional Team Leadership-Intermediate / Kanban- Advanced / Lean-Advanced / Requirements Analysis-Beginner / Requirements Gathering-Beginner / Scaled Frameworks-Advanced / Scrum-Expert / Servant Leadership-Advanced / Written and Oral Communication-Advanced Modified based upon local regulations/requirements. Bachelor's degree or the equivalent combination of education and experience is required. 6-8 years of relevant experience in similar role. Previous technical experience is preferred. Experience in Scrum Master role for software development team for large initiatives. Knowledge of various Agile approaches: Scrum, SAFe, XP, Kanban, Spotify, etc. Awareness and experience with widely successful Agile techniques: User Stories, ATDD, TDD, Continuous Integration, Continuous Testing, Pairing, Automated Testing, Agile Games. Knowledge and experience in the use of tools such as: Jira, Confluence etc. Ability to learn new technology quickly, demonstrated by experience in an engineering environment. Ability to take ambiguous topics and translate into actionable plans working with multiple business, operational and technical stakeholders. Excellent communication and mentoring skills. Preferred to have Agile certifications including CSM, PSM, SAFe SSM. Talent for driving change. Ability to drive consensus and resolve conflicts across multiple parties. Strong problem-solving skills and knowledge of Agile methods, User Story writing, and prioritization techniques. BNY Mellon is an Equal Employment Opportunity/Affirmative Action Employer. Minorities/Females/Individuals with Disabilities/Protected Veterans. Our ambition is to build the best global team-one that is representative and inclusive of the diverse talent, clients and communities we work with and serve-and to empower our team to do their best work. We support wellbeing and a balanced life, and offer a range of family-friendly, inclusive employment policies and employee forums. Employer Description: For over 230 years, the people of BNY Mellon have been at the forefront of finance, expanding the financial markets while supporting investors throughout the investment lifecycle. BNY Mellon can act as a single point of contact for clients looking to create, trade, hold, manage, service, distribute or restructure investments and safeguards nearly one-fifth of the world's financial assets. BNY Mellon remains one of the safest, most trusted and admired companies. Every day our employees make their mark by helping clients better manage and service their financial assets around the world. Whether providing financial services for institutions, corporations or individual investors, clients count on the people of BNY Mellon across time zones and in 35 countries and more than 100 markets. It's the collective ambition, innovative thinking and exceptionally focused client service paired with a commitment to doing what is right that continues to set us apart. Make your mark: bnymellon. EEO Statement: BNY Mellon is an Equal Employment Opportunity/Affirmative Action Employer. Minorities/Females/Individuals With Disabilities/Protected Veterans . click apply for full job details
At Diversified, we don't just follow tech trends - we set them by leveraging the best in technology and ongoing advisory services to transform businesses. Our comprehensive suite of solutions is engineered to help our clients build connections that make a difference - whether by inspiring viewers, engaging associates, motivating audiences, or streamlining and safeguarding operations. Our dedicated teams craft solutions experienced by millions every day including: Delivering the fan experience at one of 100+ sports facilities for the NFL, MLB, NBA, NHL, MLS, NCAA Building the first fly pack broadcast system transportable by air - bringing the 2022 World Cup and Super Bowl into homes across the world Engineering the first high-density pixel canvas to display HD content at that scale for the Vornado, Marriott Marquis LED Display in Times Square, NY Empowering and monitoring communication and collaboration solutions within multi-national companies around the globe Founded in 1993, we're a global organization serving local needs with associates worldwide. Learn more at and follow us on LinkedIn and Twitter. What part will you play? The Critical Infrastructure Design Engineer (DE) is a member of the core team for each mission critical project. Alone on small projects or part of a team of engineers on large projects the DE provides technical direction and insight through the project life cycle from sales concept to design development, construction drawings to implementation support. As a technical authority on a project team, the DE is instrumental in the determination and execution of client and system requirements and will collaborate with Workplace teams as required. Reporting to a Senior Manager of Project Engineering, the DE's project assignments are determined by Operations Leadership based on enterprise-wide demands. At times, the DE will be supervised and receive specific task assignments by Senior Design Engineers or Project Managers. What will you be doing? Participate in complex engagements and works directly with clients and project teams to develop budgets, timelines and manage expectations. Negotiates changes in deliverables and schedules and is an escalation point for engineering issues. Conceptualize system designs and determine system requirements. Provide technical expertise and coordination information to support the base building tasks performed by others, and planning of audio, video, and control solutions. Oversee and/or develop the Bill of Materials (BOM) and supporting documentation. Employ detailed design documentation strategy based on established engineering standard practices. Track engineering team tasks to completion. Generate functional system descriptions, complete block wiring diagrams, rack elevations, custom plates, or cabling assemblies. Research, identify, and recommend technology options for clients and projects. Provide technical expertise for the creation of and later reconciliation of the complete construction drawing package, support documentation for installation and coordination with architect and other trades. Support testing, configuration and commission efforts during project deployment. Support projects and teams in build phase. Complete the as-built drawings for service hand-over. Provide regular project engineering milestone status updates to Technical Operations resource planning. Develop expertise in the industry through ongoing review of trade publications, participation in industry trade shows, seminars, and educational forums. Complexity: The Design Engineer works with their Senior Manager, the Technical Operations Directors on resource assignment. This role is primarily billable in a post-sales capacity, though there are times when the DE will work on presales estimation and design efforts. Decision Making Authority: As the final sign off on project bill of materials prior to purchasing, the DE is responsible for vetting products and making detailed equipment decisions. As a technical leader on a project, the DE has the authority to recommend direction on scope changes and programming and commissioning activities. Physical Demands: The physical demands described here are representative of those that may be met by an employee. Work will normally be performed within normal office conditions and/or home office depending on location of SDE. On occasions the employee may be exposed to construction site conditions. Employee will be responsible for their safety while on job sites and to comply with all safety regulations in place by the GC. Use of PPE is usually required on job sites. The employee can typically spend at least 50% of their time on their feet and be required to mobile around the construction site. The individual is regularly required to use keyboards, test equipment and controls required for SI installation projects. Specific vision abilities required for this job include close vision, distance vision, peripheral vision, and depth vision. Travel: Occasional travel by car and occasional airplane trips are required. Employee must possess a valid driver's license in their state of residence. Employee will be required to use their own vehicle and will be reimbursed for mileage at the current corporate rate. Local travel to job sites (within a 2-hour driving distance each way) will make up 90% usually but remote travel can be up to 30% of the job at times. What do we require from you? Education/Certifications: High school diploma or GED required Associate/Bachelor degree and/or equivalent experience required AVIXA CTS certification required AVIXA CTS-D is preferred Network Certifications desired: CompTIA Network +, Security +, Cisco CCNA, Extreme Networks ECA Certifications or training desired with at least one DSP platform (Biamp, QSC, Clearone, etc.) Certifications or training desired with at least one control system (Crestron, Extron, AMX, etc.) Certifications or training desired with at least one Manufacturer Ecosystem (Barco, Vuwall, Haivision, QSC, BIAMP) Certifications or training desired with at least one collaboration platform (Zoom, Webex, Teams, etc.) Proficient in AutoCAD and MS Office 365 Other relevant certifications may be required or preferred based on the technology focus of the position. Required/Desired Knowledge, Experience and Skills: TS/SCI Security Clearance (Full Scope Poly) - Must be able to obtain within first 6 months 5+ years of experience with control room/operations center 3+ years of experience with IP Networking , to include TCP/IP routing, ACL's, QoS, VLANs, PoE calculations, Multicasting, PIM SM/DM, IGMP, SNMP, SSO and Security Certificates 1+ years of experience with enterprise-level security and networking design and configuration (physical and topology, IP structures and ranges, switch interconnectivity, VLAN, bandwidth calculation) 1+ years of experience with Barco devices and control room software ( UniSee, TransForm N / CMS , etc.) Proven track record of successfully completing engineering projects. A clear understanding of project phases, to include Presales, Program, Conceptualization, Schematic Design, Design Development, Construction Documentation, Construction, and Commissioning. A thorough, demonstrable understanding of the construction process, installation, and integration as well as architectural and construction drawings and specifications. Detail oriented, independent, self-starter Exceptional time management skills with a track record for meeting deadlines. Excellent communication skills. Ability to analyze complex issues and communicate concise succinct messages. Analytical thinking, and high level of problems solving and technical troubleshooting skills. To learn more about becoming part of the Diversified team, visit us at or email us at . Diversified is an equal employment opportunity employer and all aspects of employment will be based on job requirements, individual qualifications, merit, performance and business needs. We provide equal employment opportunities to all employees and applicants without regard to race, color, religion, national origin, gender, sexual orientation, gender identity, veteran status, age, disability or genetic information, or any other applicable characteristic protected under federal, state or local law. We celebrate diversity and encourage people of all backgrounds to apply for available positions. Individuals needing assistance or an accommodation to complete an application due to a disability, may contact Human Resources at . Other details Pay Type Salary Min Hiring Rate $130,000.00 Max Hiring Rate $150,000.00 Travel Required Yes Travel % 40 Telecommute % 60
04/17/2024
Full time
At Diversified, we don't just follow tech trends - we set them by leveraging the best in technology and ongoing advisory services to transform businesses. Our comprehensive suite of solutions is engineered to help our clients build connections that make a difference - whether by inspiring viewers, engaging associates, motivating audiences, or streamlining and safeguarding operations. Our dedicated teams craft solutions experienced by millions every day including: Delivering the fan experience at one of 100+ sports facilities for the NFL, MLB, NBA, NHL, MLS, NCAA Building the first fly pack broadcast system transportable by air - bringing the 2022 World Cup and Super Bowl into homes across the world Engineering the first high-density pixel canvas to display HD content at that scale for the Vornado, Marriott Marquis LED Display in Times Square, NY Empowering and monitoring communication and collaboration solutions within multi-national companies around the globe Founded in 1993, we're a global organization serving local needs with associates worldwide. Learn more at and follow us on LinkedIn and Twitter. What part will you play? The Critical Infrastructure Design Engineer (DE) is a member of the core team for each mission critical project. Alone on small projects or part of a team of engineers on large projects the DE provides technical direction and insight through the project life cycle from sales concept to design development, construction drawings to implementation support. As a technical authority on a project team, the DE is instrumental in the determination and execution of client and system requirements and will collaborate with Workplace teams as required. Reporting to a Senior Manager of Project Engineering, the DE's project assignments are determined by Operations Leadership based on enterprise-wide demands. At times, the DE will be supervised and receive specific task assignments by Senior Design Engineers or Project Managers. What will you be doing? Participate in complex engagements and works directly with clients and project teams to develop budgets, timelines and manage expectations. Negotiates changes in deliverables and schedules and is an escalation point for engineering issues. Conceptualize system designs and determine system requirements. Provide technical expertise and coordination information to support the base building tasks performed by others, and planning of audio, video, and control solutions. Oversee and/or develop the Bill of Materials (BOM) and supporting documentation. Employ detailed design documentation strategy based on established engineering standard practices. Track engineering team tasks to completion. Generate functional system descriptions, complete block wiring diagrams, rack elevations, custom plates, or cabling assemblies. Research, identify, and recommend technology options for clients and projects. Provide technical expertise for the creation of and later reconciliation of the complete construction drawing package, support documentation for installation and coordination with architect and other trades. Support testing, configuration and commission efforts during project deployment. Support projects and teams in build phase. Complete the as-built drawings for service hand-over. Provide regular project engineering milestone status updates to Technical Operations resource planning. Develop expertise in the industry through ongoing review of trade publications, participation in industry trade shows, seminars, and educational forums. Complexity: The Design Engineer works with their Senior Manager, the Technical Operations Directors on resource assignment. This role is primarily billable in a post-sales capacity, though there are times when the DE will work on presales estimation and design efforts. Decision Making Authority: As the final sign off on project bill of materials prior to purchasing, the DE is responsible for vetting products and making detailed equipment decisions. As a technical leader on a project, the DE has the authority to recommend direction on scope changes and programming and commissioning activities. Physical Demands: The physical demands described here are representative of those that may be met by an employee. Work will normally be performed within normal office conditions and/or home office depending on location of SDE. On occasions the employee may be exposed to construction site conditions. Employee will be responsible for their safety while on job sites and to comply with all safety regulations in place by the GC. Use of PPE is usually required on job sites. The employee can typically spend at least 50% of their time on their feet and be required to mobile around the construction site. The individual is regularly required to use keyboards, test equipment and controls required for SI installation projects. Specific vision abilities required for this job include close vision, distance vision, peripheral vision, and depth vision. Travel: Occasional travel by car and occasional airplane trips are required. Employee must possess a valid driver's license in their state of residence. Employee will be required to use their own vehicle and will be reimbursed for mileage at the current corporate rate. Local travel to job sites (within a 2-hour driving distance each way) will make up 90% usually but remote travel can be up to 30% of the job at times. What do we require from you? Education/Certifications: High school diploma or GED required Associate/Bachelor degree and/or equivalent experience required AVIXA CTS certification required AVIXA CTS-D is preferred Network Certifications desired: CompTIA Network +, Security +, Cisco CCNA, Extreme Networks ECA Certifications or training desired with at least one DSP platform (Biamp, QSC, Clearone, etc.) Certifications or training desired with at least one control system (Crestron, Extron, AMX, etc.) Certifications or training desired with at least one Manufacturer Ecosystem (Barco, Vuwall, Haivision, QSC, BIAMP) Certifications or training desired with at least one collaboration platform (Zoom, Webex, Teams, etc.) Proficient in AutoCAD and MS Office 365 Other relevant certifications may be required or preferred based on the technology focus of the position. Required/Desired Knowledge, Experience and Skills: TS/SCI Security Clearance (Full Scope Poly) - Must be able to obtain within first 6 months 5+ years of experience with control room/operations center 3+ years of experience with IP Networking , to include TCP/IP routing, ACL's, QoS, VLANs, PoE calculations, Multicasting, PIM SM/DM, IGMP, SNMP, SSO and Security Certificates 1+ years of experience with enterprise-level security and networking design and configuration (physical and topology, IP structures and ranges, switch interconnectivity, VLAN, bandwidth calculation) 1+ years of experience with Barco devices and control room software ( UniSee, TransForm N / CMS , etc.) Proven track record of successfully completing engineering projects. A clear understanding of project phases, to include Presales, Program, Conceptualization, Schematic Design, Design Development, Construction Documentation, Construction, and Commissioning. A thorough, demonstrable understanding of the construction process, installation, and integration as well as architectural and construction drawings and specifications. Detail oriented, independent, self-starter Exceptional time management skills with a track record for meeting deadlines. Excellent communication skills. Ability to analyze complex issues and communicate concise succinct messages. Analytical thinking, and high level of problems solving and technical troubleshooting skills. To learn more about becoming part of the Diversified team, visit us at or email us at . Diversified is an equal employment opportunity employer and all aspects of employment will be based on job requirements, individual qualifications, merit, performance and business needs. We provide equal employment opportunities to all employees and applicants without regard to race, color, religion, national origin, gender, sexual orientation, gender identity, veteran status, age, disability or genetic information, or any other applicable characteristic protected under federal, state or local law. We celebrate diversity and encourage people of all backgrounds to apply for available positions. Individuals needing assistance or an accommodation to complete an application due to a disability, may contact Human Resources at . Other details Pay Type Salary Min Hiring Rate $130,000.00 Max Hiring Rate $150,000.00 Travel Required Yes Travel % 40 Telecommute % 60
Job Overview Come break new ground in design verification. This is a rare opportunity to join the Chandler-based CPU design verification team, which is part of Arm's global CPU group. Our diverse engineering-centric group defines, designs, and validates Arm processor IP - the brains in billions of diverse electronic devices. As an engineer here, you will work within a dedicated group of engineers responsible for verification of the next-generation Cortex-A or Cortex-M class CPU designs. In this role you will push through the boundaries of your technical knowledge relating to groundbreaking Arm processors. As an expert on our diverse team of verification engineers, you solve the latest CPU microarchitecture design verification. You will join a growing organization with a validated business model and a strong plan for continued future growth. Your team uses the latest tools and methodologies with an eye for innovation and creative problem solving. Responsibilities Our team is responsible for the design verification for one or more functional blocks, and we are responsible for the quality of delivery throughout all related engineering project phases. Including: Lead a successful verification team to excellence Develop SystemVerilog UVM and/or formal verification for the block-level, functional verification of units Build and maintain detailed verification plans Generate and run test cases on logic simulation models Debug functional errors in the RTL model, using simulation tools, debug tools with an in-depth understanding of the architecture and RTL design Define and implement functional and statistical coverage, and improve the testbench to ensure coverage closure Required Skills and Experience Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering, with a GPA of 3.0 or higher A minimum of 10 years of experience in pre-silicon verification CPU microarchitecture experience including knowledge of pipeline, fetch, issue, Load/Store, L2 cache and MMU Shown software engineering skills including understanding of object-oriented programming, data structures, and algorithms Experience with functional coverage driven verification methods Nice to have skills and experience: You bring shown experience and you have passion for continuing to grow your expertise in CPU microarchitecture including both familiarity with and a curiosity about Branch prediction, instruction fetch, in-order or out-of-order execution, and advanced memory system. A deep understanding of SystemVerilog and UVM, and you know how they are used to build flexible and reusable testbenches You love sophisticated software engineering such as design patterns, profiling, unit testing, and programming in multiple languages You strive to achieve wining solutions You build positive relationships which are built upon mutual trust, open communication and sharing of information and success. You have a drive for continuous improvement through spotting opportunities and seeking the views of others You listen to different perspectives, evaluate, persuade and carefully shape your work to deliver impactful results Previous experience in verifying such units using simulation and/or formal verification methods In Return At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding. These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
04/17/2024
Full time
Job Overview Come break new ground in design verification. This is a rare opportunity to join the Chandler-based CPU design verification team, which is part of Arm's global CPU group. Our diverse engineering-centric group defines, designs, and validates Arm processor IP - the brains in billions of diverse electronic devices. As an engineer here, you will work within a dedicated group of engineers responsible for verification of the next-generation Cortex-A or Cortex-M class CPU designs. In this role you will push through the boundaries of your technical knowledge relating to groundbreaking Arm processors. As an expert on our diverse team of verification engineers, you solve the latest CPU microarchitecture design verification. You will join a growing organization with a validated business model and a strong plan for continued future growth. Your team uses the latest tools and methodologies with an eye for innovation and creative problem solving. Responsibilities Our team is responsible for the design verification for one or more functional blocks, and we are responsible for the quality of delivery throughout all related engineering project phases. Including: Lead a successful verification team to excellence Develop SystemVerilog UVM and/or formal verification for the block-level, functional verification of units Build and maintain detailed verification plans Generate and run test cases on logic simulation models Debug functional errors in the RTL model, using simulation tools, debug tools with an in-depth understanding of the architecture and RTL design Define and implement functional and statistical coverage, and improve the testbench to ensure coverage closure Required Skills and Experience Bachelors or Master's degree in Computer Science or Electrical/Computer Engineering, with a GPA of 3.0 or higher A minimum of 10 years of experience in pre-silicon verification CPU microarchitecture experience including knowledge of pipeline, fetch, issue, Load/Store, L2 cache and MMU Shown software engineering skills including understanding of object-oriented programming, data structures, and algorithms Experience with functional coverage driven verification methods Nice to have skills and experience: You bring shown experience and you have passion for continuing to grow your expertise in CPU microarchitecture including both familiarity with and a curiosity about Branch prediction, instruction fetch, in-order or out-of-order execution, and advanced memory system. A deep understanding of SystemVerilog and UVM, and you know how they are used to build flexible and reusable testbenches You love sophisticated software engineering such as design patterns, profiling, unit testing, and programming in multiple languages You strive to achieve wining solutions You build positive relationships which are built upon mutual trust, open communication and sharing of information and success. You have a drive for continuous improvement through spotting opportunities and seeking the views of others You listen to different perspectives, evaluate, persuade and carefully shape your work to deliver impactful results Previous experience in verifying such units using simulation and/or formal verification methods In Return At Arm, we are proud to have a set of behaviors that reflect our culture and guide our decisions, defining how we work together to defy ordinary and shape outstanding. These behaviors are assessed as part of the recruitment process: Partner and customer focus Teamwork and communication Creativity and innovation Team and personal development Impact and influence Deliver on your promises
Team (Project) Introduction The Office of Performance Analysis and Integrity manages and enhances the Veterans Benefits Administration (VBA) Enterprise Data Warehouse (EDW) which resides on one Oracle M8 Supercluster database and thirteen application servers. Our team provides the Business Intelligence software development and technical services required to sustain EDW applications to include Project Management, Data Warehouse Management, Tableau Server Administration/Tableau Desktop Administration, Technical Collaboration Support, Lessons Learned, Executive Orders and Special Projects, Architectural Enhancements, and Cloud Migration. Our client is looking for an expert-level Microsoft Power BI developer with data warehouse and analytics experience to join this team. Professional Level Information: The Power BI Developer Expert aligns to the Engineer 3 professional level within 9th Way Insignias career families. An Engineer 3 typically plans and directs research or development work on complex projects, along with engaging various parties in design and development. Costs and recommendations of new components may also involve part of the job scope. An Engineer 3 oversees the design, development, implementation, and analysis of technical products and systems. An Engineer 3 has broad knowledge of engineering procedures and assists in the resolution of complex problems. An Engineer 3 has strong technical skills and background, a knack for learning new technologies, and a blend of good problem-solving and innovation skills needed to resolve a wide variety of technical challenges. Functional Job (LCAT) Information: The Power BI Developer Expert must be able to create software using in a variety of languages or platforms, including Microsoft Power BI, DAX, SQL, and Power Query. Must have experience in understanding and articulating benefits and risks associated with alternative approaches, determining the best-fit when multiple technical paths are available, and anticipating potential issues before they materialize by implementing mitigating measures. As a senior member of the team, the Senior Developer is expected to provide guidance, technical oversight, and mentoring of lesser experienced junior and mid-level developers in the execution of their assigned tasks. Responsibilities: Participate in strategic planning and design sessions to guide the overall architectural design of Power BI reporting solutions, ensuring they are scalable, performant, secure, and meet stakeholder reporting requirements. Architect, design, and develop scalable, interactive reports and dashboards using Power BI that are intuitive and meet established UI/UX objectives. Design and implement Power BI data strategies and models that support complex reporting and analytics, and ensures optimal performance and scalability. Create Composite Data Models by integrating data from disparate sources into Power BI, ensuring that data is accurately captured, transformed, and presented. Implement security measures within Power BI reports and dashboards to ensure data confidentiality, integrity, and compliance with VAs data governance and security policies. Monitor and optimize the performance of Power BI reports and dashboards to ensure they are running efficiently and effectively, minimizing load times, and enhancing user experience. Collaborate with business analysts, database administrators, and other VA stakeholders to understand business requirements and deliver Power BI solutions that meet expectations. Develop test plans and conduct thorough testing of Power BI reports and dashboards. Document software defects, resolve, and re-test to ensure the highest level of quality and reliability. Draft training materials and documentation as required and assist Business Analysts to provide training and support to end users on the use of developed software products. Provide expert-level thought leadership and research and evaluate new technologies, tools, and methodologies that could enhance the functionality, efficiency, and security of the EDW. Participate in Agile development processes, including sprint planning, retrospectives, and daily stand-ups, ensuring timely delivery of Power BI development tasks. Solicit feedback from business stakeholders to gather UI/UX/CX insights of delivered Power BI reports, and document lessons-learned to inform future development efforts. Serve as a technical leader within the project team, mentoring junior developers, and providing guidance on best practices in software development, data integration, and system design. Requirements: Masters Degree in technical discipline, additional 15 years of experience considered in lieu of degree. 10+ years of software development experience, with at least 5 years of Power BI experience. Experience with SDLC, including both Agile and waterfall methodologies. Experience with Agile - SCRUM/Kanban iterative development with a DevSecOps mindset. Must be authorized to work for any employer in the U.S. Must be able to obtain and maintain the required security clearance. Salary Range: The salary range for this position is $118,737 $150,000 The Salary range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law. Clearance, background investigation: Applicants selected will be subject to a security investigation and may need to meet eligibility requirements for access to classified information. Location: On site in Washington DC Legal: Were an equal employment opportunity/affirmative action employer that empowers our people to fearlessly drive change no matter their race, color, ethnicity, religion, sex (including pregnancy, childbirth, lactation, or related medical conditions), national origin, ancestry, age, marital status, sexual orientation, gender identity and expression, disability, veteran status, military or uniformed service member status, genetic information, or any other status protected by applicable federal, state, local, or international law. THERE IS NO AVAILABLE SPONSORSHIP FOR THIS ROLE. DO NOT APPLY FOR THIS ROLE IF YOU WILL NEED IMMIGRATION SPONSORSHIP (e.g., H-1B, TN, STEM OPT, etc.) NOW OR IN THE FUTURE.
04/17/2024
Team (Project) Introduction The Office of Performance Analysis and Integrity manages and enhances the Veterans Benefits Administration (VBA) Enterprise Data Warehouse (EDW) which resides on one Oracle M8 Supercluster database and thirteen application servers. Our team provides the Business Intelligence software development and technical services required to sustain EDW applications to include Project Management, Data Warehouse Management, Tableau Server Administration/Tableau Desktop Administration, Technical Collaboration Support, Lessons Learned, Executive Orders and Special Projects, Architectural Enhancements, and Cloud Migration. Our client is looking for an expert-level Microsoft Power BI developer with data warehouse and analytics experience to join this team. Professional Level Information: The Power BI Developer Expert aligns to the Engineer 3 professional level within 9th Way Insignias career families. An Engineer 3 typically plans and directs research or development work on complex projects, along with engaging various parties in design and development. Costs and recommendations of new components may also involve part of the job scope. An Engineer 3 oversees the design, development, implementation, and analysis of technical products and systems. An Engineer 3 has broad knowledge of engineering procedures and assists in the resolution of complex problems. An Engineer 3 has strong technical skills and background, a knack for learning new technologies, and a blend of good problem-solving and innovation skills needed to resolve a wide variety of technical challenges. Functional Job (LCAT) Information: The Power BI Developer Expert must be able to create software using in a variety of languages or platforms, including Microsoft Power BI, DAX, SQL, and Power Query. Must have experience in understanding and articulating benefits and risks associated with alternative approaches, determining the best-fit when multiple technical paths are available, and anticipating potential issues before they materialize by implementing mitigating measures. As a senior member of the team, the Senior Developer is expected to provide guidance, technical oversight, and mentoring of lesser experienced junior and mid-level developers in the execution of their assigned tasks. Responsibilities: Participate in strategic planning and design sessions to guide the overall architectural design of Power BI reporting solutions, ensuring they are scalable, performant, secure, and meet stakeholder reporting requirements. Architect, design, and develop scalable, interactive reports and dashboards using Power BI that are intuitive and meet established UI/UX objectives. Design and implement Power BI data strategies and models that support complex reporting and analytics, and ensures optimal performance and scalability. Create Composite Data Models by integrating data from disparate sources into Power BI, ensuring that data is accurately captured, transformed, and presented. Implement security measures within Power BI reports and dashboards to ensure data confidentiality, integrity, and compliance with VAs data governance and security policies. Monitor and optimize the performance of Power BI reports and dashboards to ensure they are running efficiently and effectively, minimizing load times, and enhancing user experience. Collaborate with business analysts, database administrators, and other VA stakeholders to understand business requirements and deliver Power BI solutions that meet expectations. Develop test plans and conduct thorough testing of Power BI reports and dashboards. Document software defects, resolve, and re-test to ensure the highest level of quality and reliability. Draft training materials and documentation as required and assist Business Analysts to provide training and support to end users on the use of developed software products. Provide expert-level thought leadership and research and evaluate new technologies, tools, and methodologies that could enhance the functionality, efficiency, and security of the EDW. Participate in Agile development processes, including sprint planning, retrospectives, and daily stand-ups, ensuring timely delivery of Power BI development tasks. Solicit feedback from business stakeholders to gather UI/UX/CX insights of delivered Power BI reports, and document lessons-learned to inform future development efforts. Serve as a technical leader within the project team, mentoring junior developers, and providing guidance on best practices in software development, data integration, and system design. Requirements: Masters Degree in technical discipline, additional 15 years of experience considered in lieu of degree. 10+ years of software development experience, with at least 5 years of Power BI experience. Experience with SDLC, including both Agile and waterfall methodologies. Experience with Agile - SCRUM/Kanban iterative development with a DevSecOps mindset. Must be authorized to work for any employer in the U.S. Must be able to obtain and maintain the required security clearance. Salary Range: The salary range for this position is $118,737 $150,000 The Salary range for this job level is a general guideline only and not a guarantee of compensation or salary. Additional factors considered in extending an offer include (but are not limited to) responsibilities of the job, education, experience, knowledge, skills, and abilities, as well as internal equity, alignment with market data, applicable bargaining agreement (if any), or other law. Clearance, background investigation: Applicants selected will be subject to a security investigation and may need to meet eligibility requirements for access to classified information. Location: On site in Washington DC Legal: Were an equal employment opportunity/affirmative action employer that empowers our people to fearlessly drive change no matter their race, color, ethnicity, religion, sex (including pregnancy, childbirth, lactation, or related medical conditions), national origin, ancestry, age, marital status, sexual orientation, gender identity and expression, disability, veteran status, military or uniformed service member status, genetic information, or any other status protected by applicable federal, state, local, or international law. THERE IS NO AVAILABLE SPONSORSHIP FOR THIS ROLE. DO NOT APPLY FOR THIS ROLE IF YOU WILL NEED IMMIGRATION SPONSORSHIP (e.g., H-1B, TN, STEM OPT, etc.) NOW OR IN THE FUTURE.
Job Overview: Arm is building the future of computing, together, for everyone. Our technology can be found wherever computing happens - from the tiniest sensor to smartphones, laptops, networking equipment, automotive, robotics to the largest server. We are building a Developer Technology team to accelerate the adoption of new Arm technologies throughout our software ecosystem. The team will work closely with external developers providing a bridge into our internal engineering team, and co-engineering with our ecosystem partners to deliver the best performance and experience on Arm hardware. Responsibilities: We are looking for passionate and driven engineers who shall: Study and develop ground-breaking techniques using Arm architecture features for key domains like machine learning and scientific maths. Perform analysis and optimizations on applications to ensure the best possible performance on current and next-generation Arm technologies. With support work directly with application developers to help them best utilize our technology by understanding their problems and co-engineering solutions. Collaborate closely with our internal teams and feed into their requirements. Share knowledge and engage with the developer community. Required Skills and Experience : Experience in developing software solutions for Android or Linux. Experience with performance modeling, profiling, debugging, and code optimization. Proficient in programming in Python and C/C++ with a deep understanding of algorithms, programming techniques, and software design. "Nice To Have" Skills and Experience : Demonstrated ability or knowledge in machine learning or scientific maths. In Return: Salary Range: From: $156,187 To: $211,312 "We value people as individuals and our commitment is to reward people competitively and equitably for the work they do and the skills and experience they bring to Arm. Salary is only one component of Arm's offering. The total reward package will be shared with candidates during the recruitment and selection process."
04/17/2024
Full time
Job Overview: Arm is building the future of computing, together, for everyone. Our technology can be found wherever computing happens - from the tiniest sensor to smartphones, laptops, networking equipment, automotive, robotics to the largest server. We are building a Developer Technology team to accelerate the adoption of new Arm technologies throughout our software ecosystem. The team will work closely with external developers providing a bridge into our internal engineering team, and co-engineering with our ecosystem partners to deliver the best performance and experience on Arm hardware. Responsibilities: We are looking for passionate and driven engineers who shall: Study and develop ground-breaking techniques using Arm architecture features for key domains like machine learning and scientific maths. Perform analysis and optimizations on applications to ensure the best possible performance on current and next-generation Arm technologies. With support work directly with application developers to help them best utilize our technology by understanding their problems and co-engineering solutions. Collaborate closely with our internal teams and feed into their requirements. Share knowledge and engage with the developer community. Required Skills and Experience : Experience in developing software solutions for Android or Linux. Experience with performance modeling, profiling, debugging, and code optimization. Proficient in programming in Python and C/C++ with a deep understanding of algorithms, programming techniques, and software design. "Nice To Have" Skills and Experience : Demonstrated ability or knowledge in machine learning or scientific maths. In Return: Salary Range: From: $156,187 To: $211,312 "We value people as individuals and our commitment is to reward people competitively and equitably for the work they do and the skills and experience they bring to Arm. Salary is only one component of Arm's offering. The total reward package will be shared with candidates during the recruitment and selection process."
Come be a part of something big! Smart Minds, Cool Jobs and Awesome Rewards! Fueled by innovation, talent and ambition, the Air Force Civilian Service (AFCS) is seeking civilian professionals prepared to deploy war-winning capabilities for the United States Air Force. The Air Force Civilian Service (AFCS) is looking for a Full-Time Operations Research Analyst (GS-1515-13) to work at the 87th EWS on Eglin AFB in Valparaiso, FL. Recruitment and/or Relocation and/or Retention will be offered. Experience in simulation is desired. This position can close at any time. Apply Now!Qualifications/Requirements: The successful candidate will help the 87th Electronic Warfare Squadron (87 EWS) in executing the COMBAT SHIELD Electromagnetic Warfare Assessment Program. The 87 EWS is assigned to the 850th Spectrum Warfare Group (850 SWG), 350th Spectrum Warfare Wing (350 SWW), at Eglin AFB, Florida. The 350 SWW is the technical focal point for all electronic warfare (EW) support of warfighter systems for the Combat Air Forces (CAF). The mission of the 350 SWW is to develop and test EW mission data and mission ware for all USAF platforms with Electromagnetic Warfare/Electromagnetic Spectrum (EW/EMS) components, thus enhancing aircrew and aircraft survivability in combat. COMBAT SHIELD is the Air Force EW assessment program used to determine the electronic combat readiness of fighter, rescue, and bomber aircraft, focusing on radar warning receivers, external and internal jamming systems, High-Speed Anti-Radiation Missile (HARM) targeting systems, and infrared countermeasures. This person will lead and participate on small teams that travel to operational units worldwide (including Europe and Asia) providing recommendations to USAF senior leaders on EW/EMS vulnerabilities, mitigation procedures, and best practices, and will provide the Air Force Chief of Staff an annual assessment on the EW/EMS readiness of USAF aircraft and support systems. As a result, the successful candidate must have strong leadership, organizational and communication skills. The purpose of this position is to provide professional and scientific expertise in performance of work requiring knowledge and application of engineering, mathematics, statistical analysis, modeling/simulation, advanced theories, concepts, and/or other scientific principles, methods, and techniques. Applies cross-functional knowledge in the planning, research, design, development, test, evaluation, integration, acquisition, dissemination, sustainment, modification, and/or exploitation of complex systems or software to support the organization's mission objectives. - U.S. Citizenship is required. - Must be able to obtain & maintain a Top Secret (SCI) Security clearance. - Education requirements: A Bachelor of Science degree from an accredited institution in mathematics, computer science, or one of the physical sciences, engineering or a closely-related scientific discipline is highly desired. Advanced degree(s) are preferred. 24 semester hours in a combination of operations research, mathematics, probability, statistics, mathematical logic, science, or subject-matter courses requiring substantial competence in college-level mathematics or statistics. At least 3 of the 24 semester hours must have been in calculus. TRANSCRIPTS MUST BE INCLUDED. - This position has been designated by the Air Force as a Testing Designated Position (TDP) under the Air Force Civilian Drug Demand Reduction Program. Employee must pass initial and periodic short notice drug testing. Illegal drug use by employees in sensitive positions presents a clear threat to the mission of the Air Force, national security, and public safety. - This position has been designated as an acquisition position and is covered by the Acquisition Professional Development Program (APDP). The employee must meet, or be capable of meeting Defense Acquisition Work Improvement Act (DAWIA) certification requirements applicable to the career category designated on the position description within 24 months of assignment or secure an approved waiver. The employee must meet 80 hours of continuous learning requirements within the designated timeframe. - Information Assurance Certification, Level III, Information Assurance Manager, is a condition of employment. This position includes information assurance (IA) work as a paramount duty requirement. Per DoD 8570.01-M, the incumbent of this position must achieve the appropriate IA certification within six months of assignment of these duties. A waiver of this six month requirement may be granted per DoD 8570.01-M, C3.2.4.2 or C3.2.4.3. Failure to receive the proper IA certification may result in removal from this position (Required for all 17D positions). - The employee may be required to travel in military and/or commercial aircraft to perform temporary duty assignments. - The work requires the incumbent to be subject to recall during non-duty hours, weekends, and holidays to complete proposals and/or resolve software problems. - The work requires the incumbent to be subject to temporary and permanent shift changes. - Professional knowledge of engineering, mathematics, statistical analysis, modeling/simulation, software development and/or other scientific concepts, principles, standards, methods, techniques, practices, and procedures. - Knowledge and understanding of agency, AF, Department of Defense (DOD) and national-level doctrine, regulations, policies, guidelines, requirements, and initiatives related to assigned program(s) and/or project(s). - Knowledge of security procedures to maintain control of classified material. - Skill in applying analytical and evaluative techniques to identify, investigate, resolve complex issues or problems, and modify standard practices and techniques to solve complex interrelated problems. - Skill, judgment, resourcefulness, originality, and ability to foresee the impact of changing technology. - Ability to communicate effectively both orally and in writing, in order to produce highly technical reports, position papers, and briefings; resolve conflicts based on mature judgment and experience; effectively negotiate complex issues; and maintain good working relationships. Click the apply button to complete an application and upload resume (PDF or Word Doc) and/or additional documents (Transcripts, certifications, Vet Docs (DD214), SF-50). To receive additional information about current and future job openings with AFCS via email notification, please subscribe at and sign up to "Get Career Updates." U.S. citizenship required. AFCS is Equal Opportunity Employer. Must be of legal working age.
04/17/2024
Full time
Come be a part of something big! Smart Minds, Cool Jobs and Awesome Rewards! Fueled by innovation, talent and ambition, the Air Force Civilian Service (AFCS) is seeking civilian professionals prepared to deploy war-winning capabilities for the United States Air Force. The Air Force Civilian Service (AFCS) is looking for a Full-Time Operations Research Analyst (GS-1515-13) to work at the 87th EWS on Eglin AFB in Valparaiso, FL. Recruitment and/or Relocation and/or Retention will be offered. Experience in simulation is desired. This position can close at any time. Apply Now!Qualifications/Requirements: The successful candidate will help the 87th Electronic Warfare Squadron (87 EWS) in executing the COMBAT SHIELD Electromagnetic Warfare Assessment Program. The 87 EWS is assigned to the 850th Spectrum Warfare Group (850 SWG), 350th Spectrum Warfare Wing (350 SWW), at Eglin AFB, Florida. The 350 SWW is the technical focal point for all electronic warfare (EW) support of warfighter systems for the Combat Air Forces (CAF). The mission of the 350 SWW is to develop and test EW mission data and mission ware for all USAF platforms with Electromagnetic Warfare/Electromagnetic Spectrum (EW/EMS) components, thus enhancing aircrew and aircraft survivability in combat. COMBAT SHIELD is the Air Force EW assessment program used to determine the electronic combat readiness of fighter, rescue, and bomber aircraft, focusing on radar warning receivers, external and internal jamming systems, High-Speed Anti-Radiation Missile (HARM) targeting systems, and infrared countermeasures. This person will lead and participate on small teams that travel to operational units worldwide (including Europe and Asia) providing recommendations to USAF senior leaders on EW/EMS vulnerabilities, mitigation procedures, and best practices, and will provide the Air Force Chief of Staff an annual assessment on the EW/EMS readiness of USAF aircraft and support systems. As a result, the successful candidate must have strong leadership, organizational and communication skills. The purpose of this position is to provide professional and scientific expertise in performance of work requiring knowledge and application of engineering, mathematics, statistical analysis, modeling/simulation, advanced theories, concepts, and/or other scientific principles, methods, and techniques. Applies cross-functional knowledge in the planning, research, design, development, test, evaluation, integration, acquisition, dissemination, sustainment, modification, and/or exploitation of complex systems or software to support the organization's mission objectives. - U.S. Citizenship is required. - Must be able to obtain & maintain a Top Secret (SCI) Security clearance. - Education requirements: A Bachelor of Science degree from an accredited institution in mathematics, computer science, or one of the physical sciences, engineering or a closely-related scientific discipline is highly desired. Advanced degree(s) are preferred. 24 semester hours in a combination of operations research, mathematics, probability, statistics, mathematical logic, science, or subject-matter courses requiring substantial competence in college-level mathematics or statistics. At least 3 of the 24 semester hours must have been in calculus. TRANSCRIPTS MUST BE INCLUDED. - This position has been designated by the Air Force as a Testing Designated Position (TDP) under the Air Force Civilian Drug Demand Reduction Program. Employee must pass initial and periodic short notice drug testing. Illegal drug use by employees in sensitive positions presents a clear threat to the mission of the Air Force, national security, and public safety. - This position has been designated as an acquisition position and is covered by the Acquisition Professional Development Program (APDP). The employee must meet, or be capable of meeting Defense Acquisition Work Improvement Act (DAWIA) certification requirements applicable to the career category designated on the position description within 24 months of assignment or secure an approved waiver. The employee must meet 80 hours of continuous learning requirements within the designated timeframe. - Information Assurance Certification, Level III, Information Assurance Manager, is a condition of employment. This position includes information assurance (IA) work as a paramount duty requirement. Per DoD 8570.01-M, the incumbent of this position must achieve the appropriate IA certification within six months of assignment of these duties. A waiver of this six month requirement may be granted per DoD 8570.01-M, C3.2.4.2 or C3.2.4.3. Failure to receive the proper IA certification may result in removal from this position (Required for all 17D positions). - The employee may be required to travel in military and/or commercial aircraft to perform temporary duty assignments. - The work requires the incumbent to be subject to recall during non-duty hours, weekends, and holidays to complete proposals and/or resolve software problems. - The work requires the incumbent to be subject to temporary and permanent shift changes. - Professional knowledge of engineering, mathematics, statistical analysis, modeling/simulation, software development and/or other scientific concepts, principles, standards, methods, techniques, practices, and procedures. - Knowledge and understanding of agency, AF, Department of Defense (DOD) and national-level doctrine, regulations, policies, guidelines, requirements, and initiatives related to assigned program(s) and/or project(s). - Knowledge of security procedures to maintain control of classified material. - Skill in applying analytical and evaluative techniques to identify, investigate, resolve complex issues or problems, and modify standard practices and techniques to solve complex interrelated problems. - Skill, judgment, resourcefulness, originality, and ability to foresee the impact of changing technology. - Ability to communicate effectively both orally and in writing, in order to produce highly technical reports, position papers, and briefings; resolve conflicts based on mature judgment and experience; effectively negotiate complex issues; and maintain good working relationships. Click the apply button to complete an application and upload resume (PDF or Word Doc) and/or additional documents (Transcripts, certifications, Vet Docs (DD214), SF-50). To receive additional information about current and future job openings with AFCS via email notification, please subscribe at and sign up to "Get Career Updates." U.S. citizenship required. AFCS is Equal Opportunity Employer. Must be of legal working age.
Job description: The Senior Controls Engineer will: Troubleshoots and maintains DCS (Honeywell Experion PKS, Safety Manager, and Triconex. Performs routine hardware and software maintenance of DCS systems. Provides startup assistance and control modifications of DCS systems. Develops and implements process control schemes and control techniques. Designs control systems for all types of refinery equipment and processes. Tunes existing process controllers. Develops and reviews control logic on PLCs. Performs economic evaluations on project scoping and lookback efforts. Develops scope for control and advanced process control projects (DMCplus or DMC3). Develops and implements advanced DCS calculations and programs. Maintains and optimizes advanced process control programs. Assists process engineers in unit troubleshooting. Manages DCS and Advanced Control contractors. Develops and maintains Control Systems implementations and maintenance procedures. Qualifications: Bachelors or higher level degree in Engineering required. 5 years of process control/advanced process control field experience required. Bachelors or higher level degree in Chemical Engineering preferred. They will look at US Green Card Holder Candidates They will look at TN1 Visa Candidates They will not sponsorship Why is This a Great Opportunity: Great Company Great Location Company Is Very Profitable Continuing To Grow Their APC Brand New Honeywell Experian DCS They will look at US Green Card Holder Candidates They will look at TN1 Visa Candidates They will not sponsorship Salary Type : Annual Salary Salary Min : $ 120000 Salary Max : $ 170000 Currency Type : USD
04/17/2024
Full time
Job description: The Senior Controls Engineer will: Troubleshoots and maintains DCS (Honeywell Experion PKS, Safety Manager, and Triconex. Performs routine hardware and software maintenance of DCS systems. Provides startup assistance and control modifications of DCS systems. Develops and implements process control schemes and control techniques. Designs control systems for all types of refinery equipment and processes. Tunes existing process controllers. Develops and reviews control logic on PLCs. Performs economic evaluations on project scoping and lookback efforts. Develops scope for control and advanced process control projects (DMCplus or DMC3). Develops and implements advanced DCS calculations and programs. Maintains and optimizes advanced process control programs. Assists process engineers in unit troubleshooting. Manages DCS and Advanced Control contractors. Develops and maintains Control Systems implementations and maintenance procedures. Qualifications: Bachelors or higher level degree in Engineering required. 5 years of process control/advanced process control field experience required. Bachelors or higher level degree in Chemical Engineering preferred. They will look at US Green Card Holder Candidates They will look at TN1 Visa Candidates They will not sponsorship Why is This a Great Opportunity: Great Company Great Location Company Is Very Profitable Continuing To Grow Their APC Brand New Honeywell Experian DCS They will look at US Green Card Holder Candidates They will look at TN1 Visa Candidates They will not sponsorship Salary Type : Annual Salary Salary Min : $ 120000 Salary Max : $ 170000 Currency Type : USD